數字電路的設計范文
時間:2023-10-13 17:36:47
導語:如何才能寫好一篇數字電路的設計,這就需要搜集整理更多的資料和文獻,歡迎閱讀由公務員之家整理的十篇范文,供你借鑒。
篇1
【關鍵詞】數字電路 抗干擾 差模 共模
1 數字電路抗干擾研究的意義
隨高速電腦的發展,CPU時脈不斷的提升,PCB所能夠承受的時脈性能也相對地需要跟著增加。為了提高傳輸的效能,則必須降低電磁干擾的現象。如何設計較低電磁干擾的傳輸線,是目前高速數字時代非常重視的問題。要解決傳輸線的電磁干擾問題,首先需要從平行線去探討,因為兩條垂直的傳輸線的電磁干擾非常小,而兩條很靠近的平行線之間存在的電容效應及電感效應會產生串音(cross talk)的干擾現象。在差模的傳輸中,電磁幅射的現象較共模傳輸小,也即信號的能量損失較小,于是能夠保有較好的信號完整度且對于其它傳輸線的干擾比較小,因此對于整體的傳輸效能較佳。由于差模傳輸線能夠有效地解決串音現象,所以在數字電路的傳輸線中,已經漸漸地采用差模的傳輸方式。然而在高速數字電路中,效能是比較受到重視的,因此雖然使用差模傳輸需要付出額外的成本,但是這種額外的付出在效能提升的考量之下,是普遍可以接受的。
2 數字電路的干擾機理分析
一是共模與差模傳輸線干擾現象的機理分析。共模與差模傳輸線的干擾需要有一個基本的了解,就是兩條平行傳輸線之間的電感效應所造成的干擾現象遠大于電容效應所造成的干擾現象。當傳輸線越長時,電感效應所造成的干擾現象會更加地明顯,因為電感效應所造成的干擾現象與傳輸線的長度成正比。電容效應所造成干擾現象的大小則與傳輸線的長度無關當傳輸線越長時,這種差異會更大,所以差模傳輸線適用于高速與長度較長的場合。
二是不同步的差模傳輸線干擾現象的機理分析。差模傳輸在同步時有很好的抗干擾表現,但是當兩條線的信號不完全同步時,就會產生如前所述之共模干擾現象。共模的干擾現象遠大于差模的干擾現象。所以在差模傳輸中盡量避免信號不同步的情況,才能使差模的傳輸達到最佳的狀態。然而實際在應用時,經常會產生信號不同步的情況,因為在布線時,轉角是很難避免的,而轉角就會造成平行線的長度不一致,所造成信號傳輸的不同步,也就造成了干擾現象的增加。
3 數字電路抗干擾設計常用措施分析
(1)抑制干擾源。抑制干擾源就是盡可能減小干擾源的du/dt,di/dt,這是抗干擾設計中最優先考慮和最重要的原則,主要通過在干擾源兩端并聯電容來實現。減小干擾源的di/dt,則是在干擾源回路串聯電感或電阻以及增加續流二極管來實現。抑制干擾源的常用措施為;第一,繼電器線圈增加續流二極管,消除斷開線圈時產生的反電動勢干擾。只加續流二極管會使繼電器的斷開時間滯后,增加穩壓二極管后繼電器在單位時間內可動作更多的次數;第二,在繼電器接點兩端并接火花抑制電路,減小電火花影響;第三,給電機加濾波電路,注意電容、電感引線要盡量短;第四,電路板上每個IC要并接一個0.01uF~0.1uF高頻電容,以減小IC對電源的影響。注意高頻電容的布線,連線應靠近電源端并盡量粗短,否則,等于增大了電容的等效串聯電阻,會影響濾波效果;第五,布線時避免90度折線,減少高頻噪聲發射;第六,可控硅兩端并接RC抑制電路,減小可控硅產生的噪聲。
(2)切斷干擾傳播路徑。干擾的傳播路徑基本分為傳導干擾和輻射干擾兩類。傳導干擾是指通過導線傳播到敏感器件的干擾。高頻干擾噪聲和有用信號的頻帶不同,可以通過在導線上增加濾波器的方法切斷高頻干擾噪聲的傳播,有時也可加隔離光耦來解決。電源噪聲的危害最大,要特別注意處理。輻射干擾是指通過空間輻射傳播到敏感器件的干擾。一般是增加干擾源與敏感器件的距離,用地線把它們隔離和在敏感器件上加蔽罩。
(3)提高敏感器件的抗干擾性能。其常用措施為:第一,布線時,盡量減少回路環的面積,以降低感應噪聲;第二,布線時,電源線和地線要盡量粗。除了減小壓降外,更重要的是降低耦合噪聲;第三,對于單片機閑置的I/O口,不要懸空,要接地或接電源。其它IC的閑置端在不改變系統邏輯的情況下接地或接電源;第四,對單片機使用電源監控及看門狗電路,如IMP809,IMP706等,可大幅度提高整個電路的抗干擾性能;第五,在速度能滿足要求的前提下,盡量降低單片機的晶振和選用低速數字電路;第六,器件盡量直接焊在電路板上,少用IC插座。
4 數字電路抗干擾設計經驗
(1)軟件方面。第一,將不用的代碼空間全清成“0”,等效于 NOP,或在跳轉指令前加幾個NOP,目的是可在程序跑飛時歸位;第二,在無硬件“看門狗”時,可采用軟件模擬“看門狗”,以監測程序的運行;第三,涉及處理外部器件參數調整或設置時,為防止外部器件因受干擾而出錯,可定時將參數重新發送一遍,使外部器件盡快恢復正確;第四,通訊中的抗干擾可加數據校驗位,采用3取2或5取3策略;第五在有通訊線時,將Data線、CLK線、INH線常態置以高位,其抗干擾效果要比置低位好。
(2)軟件方面。第一,地線、電源線的布線要盡可能的寬,且成網格狀;第二,線路要去偶;第三,數字地、模擬地要分開;第四,每個數字元件在地與電源之間都要加104電容;第五,為防I/O口的串擾,可將I/O口隔離,可用二極管隔離、門電路隔離、光偶隔離及電磁隔離等方法。
5 結語
數字電路信號在傳輸時需要考慮其完整性。從本文的探討中得知,為了維持信號的完整度,在差模傳輸線中,越是高速的信號,其所容許的平行線長度差越小。因此對于高速數字電路差模傳輸線而言,應該盡可能保持兩條線的長度一致,以避免信號的完整度受到破壞。然而在布線中難免會因為轉角而造成平行線的長度不一致,如果其長度差仍在容許范圍內,則信號仍可保有完整性。如果其長度差已經造成了信號的不完整,則需尋求改善長度差的方法,以維持信號的完整性。
參考文獻:
篇2
【關鍵詞】MAX+PlusⅡ軟件;數字電路設計;實例應用
在當代社會,電子產品更新換代的速度越來越快,以往的電路設計方法已經適應不了這種挑戰,隨著可編程邏輯器件集成規模的不斷擴大,EDA(Electronic Design Automation)技術在現代電子系統設計領域的優勢已有所突顯。
EDA技術是指以計算機為工作平臺,融合了電子技術、計算機技術、智能化技術最新成果的現代電子設計技術。美國Altera公司推出的MAX+PlusⅡ軟件被公認為是最易使用、人機界面最友善的PLD開發軟件,現已成為電子系統設計、電子產品開發領域中一種全新的手段和便捷的方法。
一、MAX+PlusⅡ簡介
MAX+PlusⅡ可編程邏輯開發軟件結合了框圖界面和交互仿真能力的系統級設計和仿真工具,不用搭建硬件電路,即可對自己的設計進行調試、驗證,借助模擬示波器等虛擬設備直觀顯示仿真動態結果。而且設計者可以在友好的界面下很簡便、高效地設計出各種復雜的專用IC。因此,MAX+PlusII對改善硬件系統設計環境,培養學生應用系統級設計的能力,培養具有創新精神的應用型、復合型專門人才有很大的推動作用。MAX+PlusⅡ軟件的主要功能和特點有:
(1)設計輸入、編譯、校驗、仿真、器件編程與配置全部集成在統一的開發環境中,可以加快動態調試,縮短開發周期。
(2)設計環境與芯片結構無關,它支持EPF10K等可編程邏輯器件系列,編譯程序還提供強大的邏輯綜合與優化功能。
(3)有豐富的模塊化設計工具和器件庫。
(4)支持VHDL, Verilog HDL和AHDL等硬件描述語言。
(5)提供Megacore系統級功能。
(6)具有開放性的特點,他允許設計人員添加自己的宏函數。
二、MAX+PlusⅡ設計流程
MAX+PlusⅡ軟件提供了一種與結構無關的設計環境,其全面的邏輯設計能力,使設計者只需運用自己熟悉的輸入工具(原理圖、硬件描述語言)進行設計,就可以將文本、圖形、波形等設計方法任意組合,建立起有層次的數字系統,MAX+PlusⅡ把這些設計轉換成最終結構所需要的格式。而MAX+PlusⅡ的編譯器則可完成資源利用的最小化和邏輯綜合,把設計裝配成1個或多個器件并產生編程數據。此外,還可進行功能仿真、定時仿真、延時預測等設計校驗。使用MAX+PlusⅡ設計數字系統的步驟如下:
(1)設計輸入 用戶可使用MAX+plusII 10.0Baseline提供的圖形編輯器和文本編輯器實現圖形、AHDL、VHDL或Verilog HDL的輸入,也可輸入網表文件。
(2)編譯 為完成對設計的處理, MAX+plusII10.0 Baseline提供了一個完全集成的編譯器,可直接完成從網表提取到最后編程文件的生成。在編譯過程中生成一系列標準文件可進行時序模擬、適配等。
(3)項目校驗 項目校驗過程包括功能和時序仿真,其作用是測試邏輯操作和設計的內部定時,若有錯誤則進行修改并重新編譯。
(4)項目編程 將設計的項目編程/配置到所選擇的器件中。
三、數字電路設計舉例
本例用VHDL語言來實現8選1多路選擇器,編寫程序如下:
library ieee;
use ieee.std_logic_1164 .all;
entity mux is
port(D0,D1,D2,D3,D4,D5,D6,D7: in std_logic;
A0,A1,A2: in std_logic;
Q: out std_logic);
end mux;
architecture rtl of mux is
signal sel: std_logic_vector(2 downto 0);
begin
sel
B:process(D0,D1,D2,D3,D4,D5,D6,D7,sel)
begin
if(sel="000")then
Q
elseif(sel="001")then
Q
elseif(sel="010")then
Q
elseif(sel="011")then
Q
elseif(sel="100")then
Q
elseif(sel="101")then
Q
elseif(sel="110")then
Q
else
Q
end if;
end process;
end rtl;
上述8選1多路選擇器經過時序仿真、功能仿真,仿真結果如圖1所示,結果完全達到了設計目的,仿真通過后就可將設計結果編程/下載到目標器件中去。
四、結束語
EDA技術是電子設計的發展趨勢,利用EDA工具MAX+PlusⅡ可以代替設計者完成電子系統設計中的大部分工作,能夠方便靈活地設計出體積小而系統性高的數字電子系統,徹底地改變傳統數字系統的設計方法、設計過程乃至設計觀念,拓寬了電子設計和產品開發的思路,是電子技術設計領域的一場革命。
【參考文獻】
篇3
關鍵詞:數字電路 故障分析 檢測 思考
中圖分類號:TN407 文獻標識碼:A 文章編號:1007-9416(2012)07-0238-01
1、引言
在當前,在我國從事數字電路設計的研究人員越來越多,在數字電路的設計過程中,難免會出現這樣那樣的問題,要見解決這些問題,就必須完成數字電路的故障檢測,這樣才能夠保證數字電路的設計的進行。
2、數字電路故障概述
熟悉數字電路開發的工作人員都知道,數字電路主要分為時序邏輯電路以及組合邏輯電路兩種。如果說按照數字電路中有沒有集成元器件來看的話,數字電路就分為集成數字電路以及元件數字電路兩大類。數字電路主要是依靠算術運算以及邏輯運算兩種運算來實現處理的,數字電路的實現過程比較簡單,能夠充分保證系統的可靠性。此外,隨著硅電子技術的發展,數字電路的集成程度越來越高,在功能的實現方面更容易。
隨著數字電路使用的普及,而數字電路本身的種類很多,而且功能的實現頗為繁雜,給數字電路的設計帶來了很多的麻煩,很容易出現各種各樣的故障。在數字電路故障的檢測過程中,我們通常是將數字電路的故障隔離到電路板級,然后再對故障電路板進行逐一測試。在測試的過程中,向電路輸入一定的測試信號,然后在電路的輸出端,測試電路的輸出信號,再將輸出信號和預期信號進行比對,如果和預期信號不像符合,則可斷定電路出現故障。
3、數字電路故障的特點
3.1 競爭冒險
所謂競爭冒險,就是指電路諸多輸入信號量中,有一個門電路的輸入發生改變時,導致輸出端的狀態響應發生時間上的改變,這種現象就是我們所說的競爭。競爭導致的直接故障就是冒險以及現象的發生。
3.2 電平方面出現的故障
在數字電路中,由于電平輸入不當也會導致電路的故障。在數字電路中,對于電壓值的判定都是依賴于高低兩個電平信號,也就是說,高電壓(高電平)表示正邏輯,低電壓(低電平)表示負邏輯。但是數字電路中各個期間對于高低電平的判斷又各不相同,也就是說,可以規定一個數字電路器件的高電平是3V、低電平是-3V,我們也可以規定高電平是5V、低電平是-5V,這就導致在信號輸入的過程中,各個器件對于相同的電平值會有不同的邏輯判斷,從而導致設計人員想輸入高電平時,出現的是低電平效果。
此外,由于電平方面因素,在數字電路的測試過程中還會出現介于0和1之間的邏輯值,出現這種效果顯然不能為電路設計者所接受,而出現這樣一種現象的原因在于:第一,扇出系數過小,導致負載能力較差;第二,電磁的干擾,數字電路的高度集成性決定了數字電路中各種高頻信號線、接插件以及集成電路的引腳在工作過程中會體現出一定的電磁特性,形成輻射干擾源,進而影響其他元器件的工作。
4、數字電路故障分析
數字電路的故障分析過程中,我們會針對競爭冒險和電平方面兩種情況討論,不同情況,不同對待。
4.1 競爭冒險方面
我們在對待競爭風險時,主要分為如下幾個步驟:
首先,我們使用代數法對電路的靜態功能冒險進行相應的分析。在電路的組合邏輯中,如果有一個輸入量發生了變化,而且在電路變化的前后過程中都較為穩定,那么我們就要進行相應的卡諾圖分析,等那個卡諾圖中有P個以上的量發生改變的時候,我們就判定有發生冒險的可能。
然后,我們對電路加上選通脈沖信號,對電路進行相應邏輯的修改,并且根據邏輯的修改情況,分析出電路的輸出函數。并判斷輸出函數中組成元素的邏輯發生變化時,能否產生負向過渡干擾脈沖,對電路進行分析。
緊接著,我們對電路進行加修改邏輯設計操作,這一方法也被稱為增加乘積項法,可以對電路的邏輯進行適當的修改,從而消除電路中存在的冒險現象,在進行邏輯的修改過程中,要保證電路函數關系的不變。
要是還是不能分析出電路的故障所在,我們就要對電路進行輸出端并聯電容法,改方法又被成為電容濾出發,主要是面對電路在較慢速度的環境下工作時,在電路的輸出端并聯上相應的電容,將競爭冒險過濾掉,在操作的過程中,要避免輸出端邏輯的錯誤。
4.2 電磁干擾的解決
電磁干擾是數字電路設計過程中的大問題,我們要充分保證印刷板表面的絕緣,并將電路中低阻抗部分接上屏蔽層。在接入屏蔽層的環節中,我們可以將電壓跟隨器的同相以及反相端要和系統當中的接地相連。
4.3 電平方面的故障
設計人員在設計過程中要對各種數字元器件,特別是集成電路的輸入輸出特性做到心中有數,在設計過程中一定要充分考慮相連兩個元器件的輸入輸出特性,在必要時可以再元器件中間加入適當期間,以保證電路邏輯的正確。
5、結語
數字電路設計過程中經常會出現各種故障,本文對這一方面展開了分析和討論,并結合古箏的成因,提出了針對競爭冒險方面、電磁干擾方面以及電平方面故障的解決方法,得出相關結論。
參考文獻
[1]張蘭,徐紅兵.一種新的數字電路故障定位算法研究[J].電子科技大學學報,2004.
篇4
【關鍵詞】避障;JK觸發器;紅外對管
1 引言
避障是智能體按照某一性能指標在遇到障礙時選擇的一種行走處理方法,并依據某一性能指標搜索一條從起始狀態到目標狀態的最優或近似最優的無碰闖路徑,是當今避障規劃中的難點。在智能小車的行駛過程中,如果在前方遇到障礙物則可向其的左側或右側轉向,以確保小車保持直線、無碰闖行駛狀態、使行駛的路徑達到最優、最短狀態。鑒于上述原理,特對避障系統作如下設定:若上一次智能小車轉向右側,則在當前遇到障礙物時智能小車向左轉,直到未探測到障礙物時停止轉動并開始向前直行;若上次智能小車轉向左側,則在當前遇到障礙物時向其向右轉,直到未探測到障礙物時停止轉動并開始直行。
2 自動避障系統規劃
設計智能避障系統時,首先需要檢測障礙物與否存在,以達到檢測障礙模塊實時檢測的目的;其次需對檢測信號進行處理,從而產生控制智能小車行走的控制信號,故需要智能避障控制中心模塊處理檢測到的障礙信號;通過控制小車驅動行駛電路,調控行駛電機的方向。由此特設計由三個模塊組成的避障控制系統:障礙檢測電路、自動避障控制系統、行駛驅動電路,其系統控制結構如圖1所示。
在障礙檢測電路模塊中,依據紅外線的反射原理,通過紅外對管收發紅外線,以判斷智能小車的前方是否存在障礙。在自動避障控制系統中,以檢測障礙電路的輸出作為本模塊的輸入,通過JK觸發器作為控制系統的存儲單元,實現對小車行駛方法的選擇。在小車的驅動電路模塊中,通過控制電路輸出的控制信號作為驅動輸入驅動電機的轉動,實現小車的直行、左轉和右轉。
3 自動避障控制系統設計
3.1 自動避障控制系統簡述
智能小車在行駛的過程中能夠識別并繞開障礙物,在充斥著障礙物的環境里自由行走。置前端一個紅外傳感器,當遇到障礙物時傳感信號X為高電平,否則傳感信號X保持低電平。在智能小車上有兩個控制信號Z0、Z1,分別控制智能小車的左右輪的轉動,當Z0、Z1分別輸出高電平時,控制行走輪上的直流電機轉動,從而控制智能小車的行駛方向。
本系統設計采用如下避障規則:當Z0為高電平,Z1為低電平時,智能小車左輪電機工作而右輪直流電機斷電,從而控制智能小車左轉;當Z0為低電平,Z1為高電平時,其右輪直流電機工作而左輪直流電機斷電,從而控制智能小車右轉;當Z0Z1控制端同時輸出高電平時,智能小車左右輪直流電機同時供電轉動,控制智能小車直行。
3.2 避障控制系統狀態表及狀態圖
由智能避障規則的簡述可知,智能小車在行駛的過程中可能會出現以下四種狀態:
(1)狀態S0:當前向前行駛,但上一次遇到障礙物時是左轉。此時當輸入信號X=0時,次態仍為S0,輸出Z1Z0=00;如果輸入X=1,時,表示前方檢測到障礙,其次態應為S1,輸出Z1Z0=01。
(2)狀態S1:當前智能小車在前方檢測到障礙物,智能小車向右轉。此時當輸入信號X=0時,表示智能小車已經繞過了前方的障礙物,其次態應為S2,輸出Z1Z0=00;如果輸入信號X=1時,次態仍為S1,輸出Z1Z0=01。
(3)狀態S2:當小車正向前行駛,但上一次遇到障礙物時是右轉。此時當輸入信號X=0時,次態仍為S2,輸出Z1Z0=00;如果輸入X=1,時,表示前方檢測到障礙,其次態應為S3,輸出Z1Z0=10。
(4)狀態S3:當小車檢測到前方障礙物,智能小車向左轉。此時當輸入信號X=0時,表示智能小車已經繞過了前方的障礙物,其次態應為S0,輸出Z1Z0=00;如果輸入信號X=1時,次態仍為S3,輸出Z1Z0=10。
通過上述過程所描述的控制系統狀態如表1所示,其狀態圖如圖3所示。
3.3 避障控制系統狀態分配
在數字邏輯電路中,常用“0”和“1”兩種狀態來描述實際電路中產生的高低電平,故需要把所得到狀態表中的各個狀態用二進制碼表示。現假設存在可通過輸入來改變其狀態的存儲單元Q,可用兩種狀態“0”和“1”表示輸出的存儲狀態。由于本系統包含2?個狀態,故該電路應選用兩級存儲單元Q1和Q0,其四種狀態:“00”、“01”、“10”、“11”,恰符合設計的要求。通過對上述狀態圖的分析,并依據狀態分配些規則得到如下分配方案:S0—00, S1—01 S2—11 S3—10狀態分配后的狀態表如表2所示。
3.4 避障控制系統激勵方程和輸出方程
根據狀態分配后的狀態遷移表,可得到智能小車避障控制系統輸出端Z1、Z0的輸出卡諾圖,如圖4、圖5所示。
通過對Z1和Z0的輸出卡諾圖的分析,Z和Z0輸出方程為
根據狀態分配后的狀態遷移表,通過分析可得到自動避障控制系統的兩級存儲單元Q1、Q0的次態卡諾圖,如圖6、圖7所示。利用次態卡諾圖可以求得各個存儲單元的次態方程。
通過對兩級存儲單元Q1、Q0的次態卡諾圖的分析,Q1、Q0的次態方程分別為:
依據上述計算,得出了系統的輸出Z1、Z0的輸出方程和存儲單元Q1、Q0的次態方程,但還需要選用合適的元器件來實現存儲單元,從而實現控制系統電路四種狀態的存儲,以設計出控制系統的邏輯電路圖。
3.5 避障控制系統邏輯電路圖
鑒于本避障控制系統中的觸發器屬于時序邏輯電路的范疇,而“0”和“1”兩種狀態,可以作為鎖存器在電路中使用,故電路的狀態用觸發器的狀態來表示。在控制設計時還需要根據觸發器Q1、Q0的次態方程,求出Q1、Q0的輸入激勵方程。本次設計中采用的是JK觸發器,結合JK觸發器的標準特征方程,可得Q1和Q0的標準特征方程分別為:
根據上述激勵方程和輸出方程,設計相應的門電路,結合方程(1)、(2)和Z1、Z0的輸出方程,則可得到智能小車避障控制系統的數字邏輯電路圖。
4 結語
本論文提出了一種智能小車自動避障系統的設計方案,通過檢測障礙電路、自動避障控制系統,避障控制系統輸出的控制信號驅動智能小車的行駛,實現了智能小車的避障處理。該系統基于數字電路的觸發器為核心控制系統,解決了小車在行駛過程中遇到障礙時的避障工作復雜的問題,使避障規則簡單化,提高小車避障的可靠性。
參考文獻:
[1]郭小軍.LM567及其在測距中的應用. 實驗室研究與探索. 2007, 26(10):22~23
[2]來清民,張玉英.關于音頻譯碼器LM567的使用. 河南教育學院學報(自然科學版). 2001,10(2):17~18
[3]劉長林,張鐵中,楊麗.果蔬智能小車研究進展. 安徽農業科學. 2008,36(13):5394~5397
[4]龍桂鈴,徐磊,侯英龍.基于單片機的智能車避障的實現. 2011,39(3):182~184
[5]鄭文生,謝小平.基于LM567的紅外測速方法.2008(11):23~25
[6]李東生,張勇,許四毛. Protel99SE電路設計技術入門與應用. 北京:電子工業出版社,2002
[7]劉大健,夏哲雷,衛力.集成鎖相環路解碼器LM567及其在檢測電路中的應用.國外電子元器件. 2000(1):30~31
[8]閆晶.移動機器人避障系統設計. [碩士學位論文]. 沈陽:沈陽理工大學,2008
[9]楊欣,萊·諾克斯,王玉鳳,劉湘黔.電子設計從零開始. 第二版. 北京:清華大學出版社,2010
篇5
關鍵詞:EDA;數字電路課程設計;多功能數字鐘
1.EDA技術[1]
EDA技術即電子設計自動化技術,英文全稱Electronic Design Automation,它是以功能強大的計算機為工具,在EDA軟件平臺上,對以硬件描述語言HDL為系統邏輯描述手段完成的設計文件,自動完成邏輯編譯、簡化、分割、綜合、布局布線及邏輯優化、仿真測試的電子產品自動化設計過程。
利用EDA技術進行電子系統的設計,具有以下幾個特點:
(1)用軟件的方式設計硬件,且用軟件的方式設計的系統到硬件系統的轉換是由相關的開發軟件自動完成的;
(2)設計過程可用相關軟件進行各種仿真;
(3)系統可現場編程,在線升級;
(4)整個系統可以集成在一個芯片上,具有體積小、功耗低及可靠性高的特點。
2.用EDA技術改進數字電路課程設計的必要性
數字電路課程設計是建立在數字電子技術基礎上的一門綜合實踐性課程[2],有利于培養學生的系統綜合能力和創新能力,對提高辦學檔次,滿足社會對高素質人才的需求,培養學生對未來社會的適應能力都是受益匪淺的。通過這一課程的學習,學生能夠熟練地利用EDA技術掌握較復雜數字系統的設計方法,進一步增強學生分析問題、解決問題的能力,充分挖崛和激發學生的創新潛能。
目前在數字電路實踐教學中,大部分學校仍然采用中小規模的集成電路來實現設計功能,當設計的系統比較復雜,需要多個集成芯片和大量連線時,就增加了設計電路板的難度和故障調試難度,延長了設計周期,降低了學生的學習興趣;同時,常用中小規模集成芯片的大量重復使用也大大增加了設計成本;因此,在數字電路課程設計中引入EDA技術,采用當前國際先進的設計方法和理念,改革傳統的課程設計方法,已經成為一種趨勢[3]。用中小規模集成電路設計的數字系統存在以上諸多缺點,而運用EDA技術、可編程邏輯器件設計數字系統就成為行之有效的方法。這種設計方法從系統總體要求出發,自上而下地將設計細化,將功能具體化、模塊化;直到最低層的模塊適合用硬件描述語言或原理圖描述為止,最后形成數字系統的頂層文件;再經EDA軟件的自動處理而完成設計。
QuartusII是Altera公司的第四代EDA開發軟件,此軟件提供了一種與結構無關的全集成化環境,將設計、綜合、布局和布線、系統的驗證都整合到一個無縫的環境中,使設計者能方便地對Altera公司的PLD系列產品進行設計輸入、快速處理和器件編程。是應用廣泛的EDA開發軟件之一。CPLD/FPGA通稱為可編程邏輯器件,其中FPGA是英文Field Programmable Gate Array的縮寫,即現場可編程門陣列,它是在PAL、GAL、EPLD等可編程邏輯器件的基礎上進一步發展的產物。目前,QuartusII開發軟件和CPLD/FPGA器件作為EDA開發工具被越來越廣泛的應用到大型數字系統的設計中。
3. EDA技術在數字電路課程設計中的應用
多功能數字電子鐘的設計是數字電路設計中的一個典型應用,用中小規模集成電路實現時,用到的器件較多,連線比較復雜,可靠性差。下面就以基于ALTERA公司的FPGA器件CycloneII240C8芯片和QuartusII9.0EDA開發系統進行多功能數字鐘的設計為例來介紹數字電路系統的一般設計方法。運用此種方法進行課程設計時,需要先掌握QuartusII軟件開發環境的使用和硬件描述語言VHDL語言的編程,掌握相關CPLD/FPGA實驗開發系統的使用。
(一)數字鐘的設計要求
(1)具有時,分,秒計數顯示功能,以24小時循環計時,由6個7段共陰極數碼管顯示;
(2)能夠通過手動按鍵實現清零和調節小時、分鐘功能;
(3)具有整點報時功能,當時鐘計數為59’51”、59’53”、59’55”、59’57”時,揚聲器發出頻率為1024Hz的聲音,在59’59”即到整點時,揚聲器發出最后一聲整點報時,頻率為4096Hz。
(4)用VHDL語言來完成上述電路功能的軟件設計和軟件仿真,仿真結果正確后,在實驗系統上進行由硬件電路的下載和調試。
(二)數字鐘的設計方案
多功能數字鐘電路的系統結構框圖如圖1所示,由系統時鐘、控制電路、秒計數器、分計數器、小時計數器、譯碼器、顯示器和揚聲器組成;控制電路負責控制計數器計時、校時和揚聲器報時,譯碼器將各計數器輸出的BCD碼計數值轉換成七段碼送到顯示器,顯示器顯示時、分、秒計時結果。
介于所使用的實驗系統中有現成的譯碼器和顯示器部分硬件電路,故只對圖1所示控制電路和時、分、秒計數器模塊進行軟件設計,由VHDL語言編寫源代碼來實現。
(三)數字鐘的實現
在設計過程中采用層次化設計方法進行設計,編寫源程序,為了簡化設計把控制計時和調時部分功能放到計數模塊中,報時部分專門用一個模塊,故將數字鐘的實現分成秒、分、時三個計數模塊和一個報時模塊構成,報時模塊同時完成對報時輸入信號的分頻。
通過系統分析論證后,在QuartusII9.0環境下,用VHDL硬件編程語言編寫數字鐘的報時模塊、秒計數模塊、分計數模塊和時計數模塊源代碼,即分別對應alert.vhd、second.vhd、minute.vhd、hour.vhd文本文件,對這四個模塊分別進行編譯、綜合和仿真測試無誤后,生成這四個模塊的符號圖,最后通過原理圖連接的方式把以上各模塊生成的圖形符號連在一起形成頂層的原理圖,實現多功能的數字鐘。下面給出通過原理圖的形式所設計的頂層原理圖如圖2所示,頂層設計文件為clock.bdf,頂層實體圖如圖3所示,當然也可以通過元件例化語句來生成頂層實體。
(四)功能仿真與下載
以上各個模塊設計好以后,都可以利用軟件進行仿真,得到正確的功能仿真結果后,在頂層的設計中調用各功能模塊,完成頂層原理圖或實體的設計,最后針對頂層的實體再進行功能仿真,仿真結果如圖4所示,從仿真結果的部分截圖中可以得到該數字鐘能夠實現正常計時的功能。
仿真正確后,選定好所選用的實驗系統的配置芯片,鎖定引腳,完成引腳配置,重新進行編譯綜合后,即可生成下載文件clock.sof,將此文件下載到選定的目標芯片,接上器件,完成整個系統的設計。經過在杭州康芯電子有限公司生產的GW48EDA/SOPC實驗開發系統下載驗證,該設計完全符合數字鐘的功能要求。
4.結束語
通過將EDA技術應用于數字電路課程設計提升了學生對數字電路的認識,在設計過程中可以預先進行仿真,仿真有誤可以修改設計,在這個過程中不必搭接電路,做到有錯就隨時修改,不用擔心設計實驗失敗的風險。通過EDA技術不僅可以很好地鍛煉學生的綜合設計開發能力和動手能力,從而激發他們的學習興趣,還可以大大節約數字電路課程設計實驗的成本,提高設計效率,培養了他們解決問題的綜合能力,因此,使用EDA技術必將是數字電路實踐課程改革的新動向。
參考文獻
[1] 潘松,黃繼業. EDA技術實用教程.北京:科學出版社,2010.
篇6
關鍵詞:鈕孔縫紉機 555集成器 雙主-JK-觸發器
中圖分類號:D05B 文獻標識碼:A 文章編號:1007-9416(2015)04-0000-01
1 LH4-B814MARK-2 平縫鎖鈕孔縫紉機的結構機械運動原理分析
1.1 平縫鎖鈕孔縫紉機的結構組成
LH4-B814MARK-2平縫鎖鈕孔縫紉機如圖1所示,為該機器整機結構。主要由機臺架、主機、控制箱、電機和腳踏板等組成。操作時,打開電源開關(在控制箱面板),然后只需對腳踏板進行操作即可,非常簡便。
1.2 設備運動原理
LH4-B814MARK-2 平縫鎖鈕孔縫紉機是一臺電動和氣壓結合動作的鈕門縫紉設備。氣壓部分主要是為縫紉機壓腳的升降提供動力,并且控制控制電動機動力的傳動,即離合器的閉合和分離。電動部分主要是利用傳統的接觸器電路實現鈕門縫紉的動作邏輯控制,并且產生機械運動的動力(由電動機完成)。設備結構和如下
圖2所示,為設備供氣回路,主要供給壓腳架和電動機傳遞離合器。
圖3所示,為當壓腳放下行車時的工作狀況。主要完成鈕縫縫眼的加工工序。在操作時,打上電源按鈕,則驅動電機運轉,并且壓腳在氣壓驅動下升起。當踩下腳踏開關時,壓腳放下,并且行車,自動的完成一個鈕門孔的加工工序。然后停車,壓腳又自動升起。
2 LH4-B814MARK-2平縫鎖鈕孔縫紉機電氣控制原理分析
LH4-B814MARK-2平縫鎖鈕孔縫紉機控制電路主要由傳統的接觸器和時間繼電器等器件組成,其電路如圖4所示。
2.1 LH4-B814MARK-2 平縫鎖鈕孔縫紉機控制電路元件功能介紹
在圖4的控制電路中,各個器件作用如下:
KM:電動機控制繼電器。主要控制單相電動機供電,電源開關QS閉合,則電動機就啟動。
KA1:控制氣閥A(線圈KA5)的通電和斷電。KA1 工作,切斷KA2、KA3、KA4的供電。
KA2:控制氣閥B(線圈KA6)、時間繼電器KT1的通電和斷電。KA2得電,則切斷KA1的供電。
KA3:中間繼電器,起到保護控制作用,防止KA5和KA6同時得電。KA3工作時切斷KA1線圈的供電。
KA4:時間繼電器KT2的計時控制。
KA5:氣閥A線圈,得電時,壓腳升起;失電時,壓腳放下。
KA6:氣閥B線圈,得電時,傳遞電動機的動力,行車。
SQ:行程開關,是時間繼電器KT2的計時起點。
QS:24伏電源開關,當QS閉合,電動機得電運行,KA1也同時得電,機器壓腳升起,機器處于待機狀態。
KT1:為時間繼電器,是得電延時,延時時間為3S。得電時,開始計時,控制氣閥線圈KA6通電時間。
KT2:為時間繼電器,是得電延時,延時時間為2.5S。得電時,開始計時,控制氣閥線圈KA5通電時間。即控制壓腳升起的時間。
SB:腳踏行車開關。
2.2 LH4-B814MARK-2平縫鎖鈕孔縫紉機控制電路工作原理分析(圖5)
2.3 控制電路的功能模塊分析
通過以上對LH4-B814MARK-2 平縫鎖鈕孔縫紉機控制電路工作原理的分析,我們可以看出,該電路主要有以下三個功能塊組成:
(1)定時功能塊。其功能分別是完成2.5S和3S的通電延時,分別由KT1(決定行車時間)和KT2(決定壓腳從行車到升起的時間,要求KT2+1S要大于3S。即壓腳必須要在停車后才能升起)完成。
(2)邏輯控制功能塊。其功能主要實現設備的動作要求,按照開關SQ、QS、SB和定時器KT1、KT2等器件的狀態控制KA1和KA2的動作。功能主要由KA3、KA4和KA1、KA2本身來完成。
(3)驅動功能塊。其功能主要實現接通和切斷氣閥線圈KA5和KA6的供電。要求開關要有0.7安以上的可過電流。在圖中主要由KA1和KA2兩個接觸器來完成。
3 數字電路的設計實現
3.1 定時模塊的設計
通過分析了電路的工作原理,我們對定時模塊功能的實現主要通過555集成時基電路來實現。
(1)555集成時基電路分析。555集成時基電路又稱為集成定時器或555電路,是一種數字、模擬混合型的中規模集成電路,應用十分廣泛。外加電阻、電容等元件可以構成多諧振蕩器,單穩電路,施密特觸發器等。它是一種產生時間延遲和多種脈沖信號的電路,由于內部電壓標準使用了三個5K電阻,故取名555電路。其電路類型有雙極型和CMOS型兩大類,二者的結構與工作原理類似。幾乎所有的雙極型產品型號最后的三位數碼都是555或5567所有的CMOS產品型號最后四位數碼都是7555或7556,二者的邏輯功能和引腳排列完全相同,易于互換。555和7555是單定時器。556和7556是雙定時器。雙極型的電源電壓UDD=+5V~+15V,輸出的最大電流可達200mA,CMOS型的電源電壓為十3V~+18V,能直接驅動小型電機、繼電器和低阻抗揚聲器。
(2)555定時器(單穩態電路)。單穩態電路的組成和波形如圖6所示。當電源接通后,Vcc通過電阻R向電容C充電,待電容上電壓Vc上升到2/3Vcc時,RS觸發器置0,即輸出Vo為低電平,同時電容C通過三極管T放電。當觸發端②的外接輸入信號電壓Vi
所以輸出電壓的脈寬
tW=RCln3≈1.1RC一般R取1kΩ~10MΩ,C>1000pF。
值得注意的是:t的重復周期必須大于tW,才能保證放一個正倒置脈沖起作用。由上式可知,單穩態電路的暫態時間與VCC無關。因此用555定時器組成的單穩電路可以作為精密定時器。
根據要求,KT2計時時間為2.5秒,選用電容C4的容量為47微法,所以P1可調電阻應調整為:
R=2.5/(1.1×47×10)-6=48356歐姆
KT1計時時間為3秒,選用電容C4的容量為47微法,所以P2可調電阻應調整為:
R=3/(1.1×47×10)-6=58027歐姆
在兩個定時器選定了電阻大小后,其定時時間就確定了。在以后使用中,可以根據設備的工作需要計算和調節電阻的大小即可。
3.2 邏輯控制功能塊設計
實現邏輯控制,當然就要使用數字邏輯門電路了。由于本電路的控制邏輯比較簡單,所以只需使用“與”“或”“非”三個基本門電路就可以實現SN7408四2輸入端與門、SN7404六反相器SN7432四2輸入端或門。具體集成型號如圖所示。
3.3 驅動功能塊設計
在設備中,要驅動的對象是氣閥線圈,其線圈的最大驅動電流為0.7A,驅動電流不小。如果直接使用觸發器來驅動,則觸發器難以勝任,所以,在這里主要通過觸發器驅動晶體管的方式來驅動氣閥線圈,器件以及電路如下:
(1)觸發器型號及功能特性。觸發器選用SN74107雙主―JK―觸發器。其邏輯功能如表1所示:
JK觸發器在觸發脈沖到來時,若兩個輸入端信號同為1,輸出狀態發生翻轉,即原態為0就翻轉為1,相反,原態為1就翻轉為0。
JK觸發器的觸發方式為沿邊觸發,即觸發信號的有效期為上升沿或下降沿瞬間。
(2)時鐘信號發生電路(555多諧振蕩器)。觸發器的時鐘電路方面選用的是555多諧振蕩器,如圖7 所示。
多諧振蕩器的電路圖和波形圖如圖(6)所示。電源接通后,Vcc通過電阻R1、R2向電容C充電。當電容上電vC=2/3Vcc時,閥值輸入端⑥受到觸發,比較器C1翻轉,輸出電壓Vo=0,同時放電管T導通,電容C通過R2放電;當電容上電壓Vc=1/3Vcc,比較器C2工作,輸出電壓Vo變為高電平。C放電終止、又重新開始充電,周而復始,形成振蕩。其振蕩周期與充放電的時間有關:
充電時間:
放電時間:
振蕩周期:T=tPH+tPL≈0.7(R1+2R2)C
振蕩頻率:f=1/T=
占空系數:
當R2>>R1時,占空系數近似為50%。
由上分析可知:
1)電路的振蕩周期T、占空系數D,僅與外接元件R1、R2和C有關,不受電源電壓變化的影響。
2)改變R1、R2,即可改變占空系數,其值可在較大范圍內調節。
3)改變C的值,可單獨改變周期,而不影響占空系數。
另外,復位端④也可輸入1個控制信號。復位端④為低電平時,電路停振。
根據控制電路的時鐘要求,在JK觸發器中的時鐘頻率應該高一些,以減小控制的時間誤差。一般頻率在100HZ以上的誤差都比較小(相當于1/100秒的誤差)。所以把可調電阻P3,P4調為:P3=P4=50k歐姆;這樣則TI=T2。電容C7選用容量為1微法的電解電容。根據參數,計算出該電路的最低時鐘頻率Fmin為:
T1=T2=0.7×R×C=50000×0.7×1×10-6=0.035
T=T1+T2=0.075
Fmin=1/T=1/0.075=13.3HZ
(3)氣閥開關(驅動晶體管)。為了滿足驅動電流需要,在驅動氣閥線圈時,不使用觸發器直接驅動,而是讓觸發器驅動晶體三極管來控制氣閥線圈的電流。這里,晶體三極管選用了大功率三極管3DD15。
其參數如表2所示:
3.4 LH4-B814MARK-2平縫鎖鈕孔縫紉機數字控制電路
通過以上各個功能電路模塊的選擇和分析,得出LH4-B814MARK-2平縫鎖鈕孔縫紉機數字控制電路如圖8所示。
3.4.1 工作原理
合上電源開關,電動機運轉。IC2 1.1腳為低電位,輸出為低電位(即觸發器1K為低電位),由于觸發器1.J為高電位,1K為低電位,所以1Q輸出高電位,驅動V2,氣閥A線圈得電。此時,IC6、IC7兩個定時器的2腳均為高電位,所以輸出均為低電平。而觸發器2K為高電平,2J為低電平,所以2Q輸出為0,V1管截止,設備待機。
當腳踏開關動作,定時器T1的3腳馬上輸出高電位,并開始定時3S(3S一到,馬上輸出地電位,使得2Q變為低電位,V1截止)。IC3的1Y輸出馬上變成高電位,送到IC1的輸入端。使得IC2輸出由0變成1,從而使觸發器的1K變成1,觸發器翻轉,V2截止。同時,2J得到高電位,使得2Q輸出高電位,V1管得電,行車。
當行程開關受觸發時,T2(IC6)定時器馬上由0變成1,開始2.5S的計時。使得IC3輸出保持高電位。當IC6計時結束,(此時IC7計時已經結束,V1管已經截止),IC3輸出則跳變為0,IC2輸出也隨之為0,觸發器1Q置1,V2得電,壓腳升起。
3.4.2 其它器件選擇
P1~P4:選用普通金屬封裝的可調電位器。
C1、C2、C4、C5、C7:為電解電容,耐壓為25V。
C3、C6、C8:選用滌綸電容。
IC1:采用CW7805三端穩壓集成器。其輸出最大電流為1.5A。
4 電路的屏蔽
在解決電路屏蔽問題上,主要是給電路安裝一屏蔽罩,避免電路干擾。考慮工作環境主要是靜電和小信號干擾,所以在材料上選用了軟鐵皮來進行屏蔽。在開關線路等連接線也采用了屏蔽線材。在實際運用中,該電路能夠優于繼電器控制電路的,穩定精確的工作。在中遇到控制時間及時鐘頻率調節的問題都可以隨意地通過調整相應的電位器得到解決。而且在以后更進一步的改進中元器件的數量也可以適當減小。
5 結語
本文介紹了兄弟牌LH4-B814MARK-2平縫鎖鈕孔縫紉機,并對它進行了結構機械運動原理分析,電氣控制原理分析。通過對縫紉機電路的工作原理的分析我們用555集成電路和基本邏輯電路,JK觸發器等組合成的數字電路來代替兄弟牌LH4-B814MARK-2平縫鎖鈕孔縫紉機原來的繼電器控制電路。省去了原來繁瑣的連線結構,解決了原來電路在應用中存在的維修率高、電路維修煩亂、維護,維修成本高等問題。使得改造后的設備能夠正常工作,故障率大大減少。并且數字控制電路工作穩定,控制精確,成本低。使用中檢修,維護十分方便,在實踐中收到良好的效果。本文介紹的設計從試驗的結果看,得以證明成功的應用。
參考文獻
[1]金齡.《數字邏輯電路》.中國勞動出版社.第二版,2003.
[2]蔣頌軍,何曉帆.常用集成電路實測數據手冊,化學工業出版社,2006.
[3]張惠敏 主編.數字電子技術,化學工業出版社,2005.
[4]金雁飛,唐俊翟.數字電路與邏輯設計.冶金工業出版社,2003.
篇7
【關鍵詞】測頻;頻率計;電路設計
1.相關理論概述
數字頻率計采用數字電路制作成以十進制碼來現實被測信號頻率,對于周期性變化的信號頻率能夠實現有效的測量的一種儀器。它是教學、科研等工作中的基礎測量儀器,在模擬電路和數字電路實驗中有著重要的作用,其能夠直接讀出信號源所產生的不同頻率范圍的信號將會對實驗產生很大的影響。頻率計主要用在正弦波、矩形波等周期性信號頻率值的測量等,它的拓展功能能夠實現對信號周期及其脈沖寬度的測量,引起對信號源的接受敏捷度使得其稱為試驗箱中的重要組成部分。
信號頻率測量方法按照工作原理可以分為無源測量、比較測量、示波測量及技術等測量方法。其中最常見的測量方法是電子計數器,在該種技術下,頻率計實現單位時間內被測信號脈沖數的直接計數,并將其頻率值以數字的形式顯示。實現了對不同頻率、精確度的測頻需求,保障了測量結果的精確度和速度。
2.整形電路的設計
整形電路就像把模擬的信號轉換成為二值信號,也就是使其成為只有高電平和低電平的離散信號。在電路設計時我們可以將電壓比較器用作模擬電路及數字電路的接口電路,通過其把非矩形信號轉換成矩形信號。在選擇比較器時,我們要充分考慮影響信號接收和轉換功能的各種因素。下圖為其整體設計結構圖:
首先,是信號傳播可能存在的延遲及時時間。信號傳播的延遲時間是比較器選擇時所要考慮的重要參數,這種時間的延遲有當信號通過元器件時所產生的傳輸時間上的延遲和信號上升及下降的時間延遲,只有將延遲的時間降低到最小才能有效的縮短信號處理的時間。
其次,要充分考慮電源電壓對比較器的影響。就傳統而言,比較器一般需要正負 15 伏的雙電源來進行供電或者需要達到36 伏的單電源進行供電,這種傳統的比較器在一些工業控制中仍有使用的空間和發展前途但以不適應發展的主流。現在多數的比較器需要在限定的電壓條件下進行工作,即在電池電壓所能夠運行的單電源單位內進行工作,因此對其提出了低電流和小封裝等當面的要求,并且在實際的應用中比較器還應該具備一定的關斷的功能。當具備上述條件是,比較器才能夠在試驗箱中得到有效的利用,保證頻率計在不同電源電壓條件下的正常工作。
再次,充分考慮功耗對比機器的影響。功耗的大小直接影響比較器使用壽命和工作效果,功耗越低時其比較器的耗損相對較低,使用使用壽命得到延長,然而功耗由于器件的運作速度相關,功耗降低的同時可能帶來運作速度的降低,因此,在比較器選擇時,充分考慮功耗與元器件壽命及其運作速度的關系,尋得一種最優組合。
最后,不可忽視門限電壓對比較器的影響。器件的設置可以用來實現對門限電大的測量,門限電壓的大小與電路抗干擾能力呈現一種正比例的關系但與其敏感度成反比例關系。當我們通過對門限電壓的測量并通過一定的公式計算,根據實際工作的需要來確定門限電壓的具體值。
當我們充分考慮上述影響因素時,便會有針對性的選擇相應的新品用于單元電路的設計,從而實現信號在電路中的順利傳輸,避免芯片燒壞等現象的發生。
3.計數電路的設計
實現對信號的整形后我們便要關注一些低頻信號由于其上升速度等原因可能產生的計數影響,因此在電路設計時應該根據信號的特點來完善計數電路的設計。低頻信號上升緩慢或者高頻信號疊加于其中時會使得計數電路將該種抖動作為輸入脈沖予以計數,從而產生計數上的誤差。避免該種現象的發生,我們可以通過低通濾波器的使用來處理低頻信號傳輸中可能產生的抖動,并經過濾波器濾除疊加的高頻信號。而反相器的使用可以實現在濾波前把高頻信號和低頻信號予以分開,即僅使低頻信號經過反相器實現濾波得到比較規則的矩形信號而高頻信號則不經過該過程。經濾波后的矩形信號輸入到單片機中,在單片機選擇時,低電壓、高性能是我們考慮的重要方面,同時還要選擇體積較小功能相對較強的單片器,實現迅速有效的技術。單片機計數器的精確度和終端結構的類型都會影響計數結果,通過精密比較器的植入和振蕩器電路的設置,實現頻率計的精度和存儲等方面的要求。在單片機選擇時還應該考慮技術進步革新對于存儲器程序的選擇和更新的可能,并且考慮單片機大小對于整個電路系統的影響,保證程序寫入的便利性。下圖為其計數模塊設計圖:
此外,對于計數電路的設計還要考慮信號頻率高低的不同對計數器可能產生的影響,實現單片機對不同信號頻率進行分頻處理。經過整形后的信號進入選定規格的反相器后,對不同頻級的信號進行分級處理,單片機頻率自動分辨處理能力的選擇能夠有效的降低一些頻級信號的分辨和處理,保證計數器工作的效率和速度。同時計數器的顯示值的大小根據信號的頻值進行實現隨機變動,實現對不分頻信號、高頻機低頻信號的有效計數。
4.顯示電路的設計
顯示電路是數字頻率計電路設計的重要組成部分,它負責將整形電路及計數電路處理的數據顯示出來。在該電路設計時我們要考慮的因素便是顯示材料的選擇及數據顯示的方式。LED 數碼管的類型會對數據的現實產生一定的影響,而該種材質的數據顯示方式又分為動態和靜態兩種。就兩種現實方式的優缺點而言,靜態現實具備較高的亮度,為我們及時準確的讀取數值提供了視覺便利,且其接口編程相對容易,但是該種顯示方式會占用較多的口線,顯示的位數直接關系到鎖存器的數量,這直接帶來所用器件數量繁多和連線的龐雜 ;而動態顯示相交而言能夠避免上述一些缺點。在動態顯示使用時,先確定未選實現選定未選的段碼的顯示,經過一定的延時再實現對下一選定為送段碼顯示,并依此循環。下圖為其顯示模塊圖:
其具體的工作流程可以解釋為,單片機中不同的構建作為譯碼器實現信號的輸入,由譯碼器的輸出來確定數碼管的選擇位。將每個數碼管的公共端與一個接有高電平的 PNP 三極管的集電極相連,同時將三極管的基極和譯碼器的輸出端相連接,這樣可以通過對軟件編程來設置單片機中的不用位置構建,從而設計譯碼器的輸入端,其輸出端設為低電平且只設一位,從而使與其連接的三界關處于一種飽和的狀態,實現對計數器數據的動態顯示。實現顯示器電路中各元件的有機連接后,還要注重送段碼的相關問題,使得相應位數的送段碼可以通過一定串行口在數碼管上進行顯示。
5.結束語
除上述電路設計外,電子頻率計的設計還要注重電源、濾波等電路的設計,只有將各種影響其工作的單元電路的設計不斷的精細化和完善時,才能有效的保證其工作的效率和在實驗和工業中的使用效果。
【參考文獻】
[1]沈亞鈞.基于單片機的數字頻率計設計[J].山西電子技術,2012(05).
[2]楊帆.數字頻率計的設計與實現[J].科技廣場,2011(09).
篇8
【關鍵詞】EDA技術 發展背景 電子線路設計 應用分析
一、前言
作為現代電子設計技術的核心,EDA(Electronic Design Automation)技術是以硬件描述語言HDL(Hardware Description Language)為系統邏輯描述的主要表達方式,以可編程器件PLD(Programmable Logic Device)為實驗載體,依賴功能強大的計算機,在EDA工具軟件平臺上,自動的完成邏輯編譯,邏輯化簡,邏輯分割,邏輯綜合,結構綜合(布局布線)以及邏輯優化和仿真測試,直至實現既定的電子線路系統功能。EDA技術的應用使得設計者的工作僅限于利用硬件描述語言和EDA軟件平臺來完成對系統硬件功能的實現,極大的提高了設計效率,縮短了設計周期,節省了設計成本。EDA技術涉及面廣,內容豐富,融合了的微電子、電路系統、計算機應用等多個學科。EDA技術的本質是電子產品的自動化設計過程,其相關設定分別如下:工作平臺為計算機,設計語言為硬件描述語言,實驗載體為可編程器件,應用方向為電子系統設計。在電子線路設計中應用EDA技術可實現一體化設計,周期時間大幅度縮短,設計效率得到進一步提升。因此,對EDA技術在電子線路設計中的應用進行分析,對于EDA技術的現代應用和電子線路設計的長足發展有著積極的現實意義。
二、EDA技術的產生背景與內容
電子設計自動化的簡稱就是EDA技術,因為現代社會計算機,集成電路和電子系統的高速發展,所以電子設計技術就應運而生了,他的出現可以提高人們對于電子電路系統設計的能力,這種技術對于應用電子技術,計算機技術和智能化技術都有集成,所以能夠對于各種電子通信方面的設計進行輔助的設計,目前來看,該項技術主要是對于IC的設計,電子線路的設計以及PCB板的設計起到了一定的作用,而且在日常運用的范圍較廣,當前,因為電子技術和計算機技術對其的推進作用,所以在國家的各個行業都有了大量的應用,比如國防,昂天,儀器儀表,工業自動化等等,該項技術正在以驚人的速度發展,逐漸變成了當今電子技術發展的前沿。
(一)EDA技術的產生背景
上世紀后半期,計算機和集成電路迅速發展起來,電子技術面臨著新的機遇和嚴峻的考驗。因電子技術周期不斷縮短,其與專用集成電路設計難度日益提升間的矛盾日益加劇。這一形勢下,就需要應用高層次的設計工具和新的設計方法來解決這一問題,而EDA技術就是在這一現實背景下應運而生的。
(二)EDA技術的內容
EDA技術主要包括四方面內容:第一,可編程邏輯器件(大規模);第二,硬件描述語言;第三,軟件研發工具;第四,試驗開發系統。EDA技術在電子系統設計的應用過程當中,其四方面內容依次扮演著載體、表達手段、設計工具、下載與硬件驗證工具。
三、EDA技術的發展
回顧自20實際90年代初到如今近30年電子設計技術的發展歷程,EDA工具的發展經歷大致可劃分為三個階段:計算機輔助設計(CAD),計算機輔助工程(CAE)和電子設計自動化(EDA)。
(一)計算機輔助設計CAD(Computer Aided Design)階段
20世紀70年代是EDA技術發展的初期階段,人們開始使用計算機輔助進行IC版圖編輯和PCB布局布線,使設計者從繁瑣,重負的計算和繪圖中解脫出來,由于PCB布局布線工具受到計算機工作平臺的制約,其支持的設計工作有限且性能較差。
(二)計算機輔助工程設計CAE(Computer Aided Engineering)階段
20世紀80年代為CAE階段,此時EDA工具主要以邏輯模擬,定時分析,故障仿真,自動布局和布線為核心,如果說CAD工具代替了設計工作中繪圖的重復勞動,則CAE工具則代替了設計師的部分工作。然而,大部分從原理圖出發的EDA工具仍不能滿足復雜電子系統的設計要求。
(三)電子設計自動化EDA(Electronic Design Automation)階段
20世界90年代,設計工程師逐步從使用硬件轉向設計硬件,從單個電子產品開發轉向系統級電子產品開發,即片上系統集成。這時的EDA工具不僅具有電子系統設計的能力,而且能提供獨立于工藝和廠家的系統級設計能力,具有高級抽象的設計構思手段。可以說,20世紀90年代EDA技術的發展是電子電路設計的革命。
四、EDA技術在電子系統設計中的理論應用
(一)EDA技術在電子系統設計中的應用優勢
在電子系統設計中應用EDA技術,使得設計人員不必通過門級原理圖來對電路進行描述,而只需對設計目標功能作出描述。電路細節方面的的束縛得以擺脫,設計人員能夠將更多精力放在概念構思和創造性方案上。而當通過高層次描述將這些概念構思輸入計算機后,EDA技術便可以規則驅動形式來實現整個設計的自動完成。這樣,新概念能夠有效迅速地轉化為產品,產品研制周期大大縮短。
(二)EDA技術在電子系統設計中的基本應用步驟
高層次設計法是EDA技術在電子系統設計應用中的有效形式,其基本步驟如下:第一,通過“自上而下”形式的設計手段來劃分系統;第二,完成VHDL代碼的輸入,并應用圖形法來EDA實驗室進行仿真輸入;第三,對設計輸入做編譯處理,使其轉化為VHDL標準文件;第四,采用仿真器來優化處理VHDL源代碼,進而生成網表文件;第五,參考網表文件,應用適配器件來對對具體目標器件做邏輯映射操作;第六,經下載電纜或編程器來講器件編程文件載入目標芯片中,如需更換綜合庫,只需通過ASIC的形式即可完成。
五、EDA技術在電子線路設計中的現實應用
(一)分頻器的設計要求
分頻器是基本的電子線路,依據設計的不同要求,通常會遇到半整數分頻、整數分頻等,等占空比、非等占空比也會成為設計有時的要求。同一設計中,多種形式的分頻要求也往往存在。鑒于EDA技術的設計應用,本文將設計目標定位基準信號整數分頻的實現。
(二)分頻器的設計思路
假設系統輸入信號為時鐘信號,分別設定其頻率、周期、占空比為60MHZ、20微秒、30%。之后將輸入信號視作敏感信號,并進行4分頻處理,這就就得出相應的輸出信號。同時,設置一個復位信號于另外系統中,并配備相應計數器,隨之融入進程中即可實現設計目標。
(三)分頻器的設計實現
分頻器的設計實現分六步來進行,第一步,找到應許程序中的QuartusII標志,將其打開;第二步,進行新工程項目的建立。在已有工程項目完成的情況下,作“Open Existing Project”的單擊處理,并對項目保存路徑進行選擇。這里,即可應用原有文件夾,也可建立新文件夾,隨之輸入相應的項目名稱,便可在項目中完成文件的加載。之后,進行FPGA芯片的選擇,以試驗箱芯片型號為依據來作出選擇,并通過對芯片封裝、引腳數、速度三欄自上而下的選擇,來將芯片選擇范圍進一步縮小。完成芯片選擇后,來對所需調用的EDA工具作出選擇,因本文不涉及調動,故可直接點擊下一步,待出現工程對話框后,點擊完成即完成本步操作;第三步,建立硬件描述語言文件。單擊工具欄File菜單欄正下方的New圖標,輸入已經編寫好的語言程序于程序輸入框內。待輸入完畢后,加以保存并確定文件名(文件名應與硬件描述語文和工程名中的模塊名相一致)。這時,單擊工具欄中編譯圖標,如無錯誤,電機確定即可,如彈出警告信息,其信息中對設計問題有相應的說明;第四步,建立仿真波形圖。類比于上一步驟,不同之處,在于選擇“New”中的波形文件,雙擊其下空白處,進入到時序仿真端口當中,單機“OK”即完成仿真端口的選擇;第五步,仿真。在菜單欄中對仿真截止時間進行設置,通常情況下位20微秒。之后,進行輸入的設置,在時鐘對話框中對起始時間、周期、結束時間進行設置。最后對低電平或高電平數據范圍進行選擇,完成后保存,且注意應保持波形文件同模塊名、項目名的一致性;第六步,編譯。對仿真波形圖進行編譯,使其每隔四個時鐘周期,能夠在輸出端得到等占空比的四分頻波形。之后,改變占空比,或對計數器技術狀態值作出稍微改變,多種形式分頻隨即實現。
六、結束語
通過論述EDA技術在電子線路設計中的現實應用,可以看出,EDA技術簡化了繁瑣的設計工作,表現出較好的應用效果,能夠滿足電子線路的設計要求。21世紀是EDA技術的發展高速期,其應用正在朝著數模混合電路和模擬電路的方向邁進,EDA技術必將突破電子設計范疇,來進入其他領域。且隨著EDA技術設計應用的日益成熟,其定將在設計領域得到更為廣泛的應用。
參考文獻:
[1] 邱軍興.EDA技術在電路設計中的地位和作用[J].西安文理學院學報.2009(8).
[2] 賈民力.EDA仿真技術在電子線路設計中的應用[J].青海大學學報.2009(23).
[3] 崔葛.基于FPGA的數字電路系統設計[M].西安:電子科技大學出版社.2008 .
[4] 潘松.黃繼業.EDA技術與VHDL(第3版)[M].北京:清華大學出版社.2009.(9).
[5] 馬楠.周焱.EDA在射頻電子電路設計中的應用[J].山西電子技術.2005(2).
[6] 王樹昆等.EDA仿真環境的研究與應用[J].山東省青年管理干部學院學報.2006(1).
[7] 潘松.黃繼業.EDA技術與VHDL(第3版)[M].北京:清華大學出版社.2009.(9).
[8] 王平.EDA技術的電子系統設計[J].中國科技博覽.2011(38).
[9] 杜玉遠.EDA設計快速入門[J].電子世界.2004.
[10] 路而紅.電子設計自動化應用技術[M].北京:高等教育出版社.2006.
[11] 徐宏慶.電子線路設計中仿真設計軟件的應用[J]..中國現代教育裝備.2010(2).
[12] 高有華,龔淑秋,李忠波.基于EDA電子線路的仿真研究[J].沈陽工業大學學報.2002(4).
篇9
關鍵詞:數字電壓表單片機模數轉換
0 引言
數字萬用表作為電氣參數測量的必備工具已取代模擬萬用表廣泛使用,但普通數字式萬用表測量電壓時,反應速度慢,不能實時記錄電壓變化,而具有存儲功能的數字示波器價格高體積大,使用不便。設計出了一種基于單片機的具有記錄功能的簡易電壓表,經試用,該數字式電壓表可用于電壓的動態測量采集,并取得了較好的效果。該數字表稍加改進可用于電流、電阻等參數的動態測量采集。
1 系統結構
簡易數字電壓表系統結構如圖1所示,由單片機、放大電路、LCD顯示模塊、按鍵和電源模塊。配通訊適配器,可通過RS232C接口與PC通訊,實現在線測量。
2 硬件設計
LCD顯示模塊采用LCD1602A。LCD1602A內置字符發生器ROM,可提供160種工業標準字符,包括全部大小寫字母、阿拉伯數字及日文片假名以及32個特殊字符或符號,容量為16×2字符,可據微處理器供電電壓選擇DC3.3V或DC5V供電方式。DC5V供電時工作電流2mA,適用于低功耗便攜式儀器儀表。LCD1602A引腳功能見表1,指令見表2。
單片機使用深圳宏晶STC12LE5A60S2,該型號單片機DC3.3V供電,內部集成8路10位A/D,轉換速度達25萬次/秒,用于外部電壓信號的采集;集成的1280字節RAM用于存儲采集數據,緩沖PC通訊數據;集成2路串行通訊接口,通過通訊適配器實現與PC機通訊。
電源模塊采用鋰電池供電,通過穩壓模塊LM1117實現DC3.3V輸出,為單片機和LCD液晶模塊提供電源。放大電路采用LM358設計的同相比例放大電路。通訊適配器采用MAX3232CPE制作,該芯片支持DC3V-5V工作電壓,可將TTL電平轉換為RS232C邏輯。
3 軟件設計
軟件由主程序、定時中斷服務程序和串口通訊中斷服務程序構成。主程序,流程圖如圖2所示,完成定時器、串行通訊口、LCD1602和ADC的初始化后,循環檢測用戶按鍵狀態并實事顯示測量電壓信息。用戶通過按鍵調節采樣頻率,確定是否將A/D數據上傳上位機。
定時中斷服務程序,流程圖如圖3所示,主要完成A/D的采集。考慮到啟動A/D轉換后需等待轉換完成,則設定A/D的讀取在下一次定時時間到時讀取,在上位機顯示界面中可以加時間修正。下位機由于采用LCD1602液晶,顯示刷新頻率不高,可以忽略這短暫延時。
串口通訊中斷服務程序實現單片機與上位PC機通訊。由于采用RS232C接口,受通訊速率的影響,建議實時采樣頻率設定不高于500次/S。
篇10
電子束溶煉技術(EBM)是冶金溶煉技術領域里的一個重要分支技術,在尖端金屬冶煉領域中占有著重要地位,同時也是未來冶煉領域里的一個重要組成部分。從本質上來講,電子束的冶煉就是在真空環境較高的條件下,先通過加熱的手段使負載電阻絲產生電子,然后通過一定的高壓使電子進行高速運動,最后將高速運動的電子束流的動能轉化為熱能從而冶煉金屬的一種溶煉方法。它主要針對于難溶金屬進行冶煉,比如鶴、鉭、銀、銀、鉿、鉻、銀、錯和鈦等溶點較高,輕易難以融化的金屬。在難溶金屬的冶煉領域里面,鈦合金是其中一種使用開發程度較高的金屬。金屬鈦是自上世紀中葉被人們逐步發現并加以利用的一種金屬,相比于其他難溶金屬而言,金屬鈦的強度很高,且不容易被腐燭,在溫度較高的條件下依然能保持自己本身的特性,所以鈦合金在高溫、惡劣、特殊的環境下被廣泛使用;如航空航天領域、軍工化工制造領域、汽車醫療領域等。在鈦合金的優質特性逐漸被人們發現之后,慢慢被人們所熟知,許多國家開始著手于鈦合金的冶煉與開發。到了上個世紀五六十年代,鈦合金在航空航天飛行器的發動機的使用上發揮了重要的作用。到了上個世紀80年代以后,鈦合金的應用得到了進一步的發展,得益于軍工領域的進步,鈦合金在火箭、導彈等裝備設施上得到了更多的應用[2]。正由于以鈦合金為代表的難溶金屬在工業以及民用發展的進程中得到了廣泛的應用,為電子束焰煉技術的發展提供了重要的基礎[3]。
1.2課題背景及意義
電子束溶煉爐電源的發展趨勢是大功率、高頻化、小型化。目前國內的開發應用水平與國外發達國家的先進水平仍有很非常明顯的差距;其中美國ATI公司已經成功生產出由8支電子槍同時工作,總功率達到5.6MW的溶煉爐,冶煉功率等級為世界最大;德國溶煉爐產業以ALD公司為主要代表,公司成功生產出單臺功率為600kW的電子槍,4臺電子槍同時運轉功率能夠達到2000kW。在我國,北京有色金屬研究院開發出4臺電子槍同時工作可提供2.4MW的大型高效電子束冷床爐。但是目前世界各大公司生產的電子束溶煉爐電源主要還是釆用傳統的工頻升壓方式,高頻電源的開發仍是未來電子束溶煉爐的發展的難點和熱點。
1.2.1電子束溶煉爐的發展歷史
電子束的概念第一次出現在人們的視野中是在上個世紀的80年代,美國的Temescal冶金公司在1957年首度使用電子束進行了對金屬鈦等難溶金屬的冶煉,此時才正式開啟了商業方面對電子束溶煉的運用的時代。而到了 20世紀60年代,橫向電子槍技術相對成熟起來,能夠投入使用,并且己經能夠對直徑達到80的組錠和鶴錠進行冶煉。到了上世紀80年代中期,過去的橫向電子槍己經完全被現在新式的軸向電子槍所取代,現在電子束溶煉爐的溶煉能力得到了質的飛越。在90年代后期美國提出了冶煉的新思路,將需要溶煉的金屬放置在溶煉的容器內進行冶煉的同時,另一個溶煉裝置同時進行準備,這樣的搭配使溶煉的效率和能力都已經大幅提高[9]。
1.2.2電子束溶煉爐的工作原理
電子束溶煉是利用大功率電子束流,通過控制電子束流的功率,束流的大小,進行難溶金屬的溶化與冶煉,通過凝固結晶后將雜質去掉,提純、結晶的一種冶煉方法[4]。電子束熔煉爐的主要結構包括三個部分組成:(1)電子槍。(2)電源系統(3)電子束控制系統。電子槍是用于發射電子束的設備,電源系統分別由燈絲電源、轟擊電源、加速電源三部分組成,用于電子槍不同部分的供電使用。電子束控制系統負責完成對電子束的聚焦和偏轉。電子束以極快的速度發射到金屬表面,將動能轉化為熱能并將金屬溶化達到溶煉的目的。
如圖1.1所示為電子束溶煉爐電子槍結構示意圖,它的基本工作過程如下所述
3)燈絲電源通過輸出穩定的電流對燈絲進行加熱,燈絲通入電流后產生高溫并在其周圍溢出少量電子;
4)轟擊電源將燈絲周圍產生的電子轟擊到陰極板上;
5)陰極板受到高速電子的轟擊,溫度急劇升高,并在其周圍產生電子密度極大的電子
6)在陰極板與館煉金屬之間加入高壓加速電源,使電子形成電子束,溶化金屬,達到冶煉、提純的目的。
2燈絲電源系統結構設計及控制策略
燈絲電源是電子束溶煉爐電源系統的重要組成部分。燈絲電源系統主要功能是對燈絲負載兩端進行加熱,負載在通過較大的電流之后溫度升高發射出大量電子,然后供給后級電源繼續進行處理。在已經成熟的電子束系統中最常用的辦法是通過閉環的調節和控制使電流最終達到一個穩定的狀態,從而讓燈絲電流達到穩定的電流輸出,能夠使溢出電子的數量達到一個穩定的平衡,如果燈絲的電流能夠穩定,最終會促使懷煉爐電子束流也隨之穩定。在電子束溶煉的過程中,燈絲電流的大小與穩定程度直接影響電子束流的大小,從而成為影響溶煉功率的重要因素。
2.1電子束溶煉爐燈絲電源的結構
電子束溶煉爐燈絲電源系統的結構主要包括:
1)不控整流部分
2)Buck變換器
3)全橋逆變部43分
4)降壓隔離變壓器
5)采樣電路、控制電路與過流保護電路
2.2燈絲電源的工作原理
燈絲電源的作用在于使燈絲通過電流而溢出電子,然后提供給后級電路,燈絲電流的大小以及其穩定程度最終影響溶煉爐電子束流的大小。而電子束流的大小與穩定程度直接決定了溶煉過程中的金屬產量和質量。本課題所設計的電子束溶煉爐燈絲電源為一個高頻交流電源,輸出電流范圍為交流0-20A可調,輸出電壓穩定在交流0~10V。
2.2.1主電路基本原理
電子束溶煉爐燈絲電源的拓撲結構如圖2.1所示。電源主回路的部分主要由下面幾個單元構成:不控整流部分;Buck變換器部分;全橋逆變部分;高頻降壓變壓器部分。在電子束恪煉爐中燈絲電源與轟擊電源以及加速電源部分串聯組成,所以輸出端必須有變壓器對其進行隔離,可起到保護低壓控制回路的功能。單相工頻220V交流輸入電源經過不控整流濾波后,得到280V左右的直流電壓,直流電壓經過Buck變換器的電壓調整將Buck變換器電壓輸出控制在180V;所得到的直流電壓經過全橋逆變器后逆變為高頻的交流方波,最后經過降壓隔離變壓器可得到10A的交流電流。
2.2.2 Buck變換器的工作模式