集成電路設(shè)計(jì)與仿真范文
時(shí)間:2023-10-11 17:25:53
導(dǎo)語(yǔ):如何才能寫好一篇集成電路設(shè)計(jì)與仿真,這就需要搜集整理更多的資料和文獻(xiàn),歡迎閱讀由公務(wù)員之家整理的十篇范文,供你借鑒。
篇1
[關(guān)鍵詞] 電子線路體系課程; 計(jì)算機(jī)輔助設(shè)計(jì); 教學(xué)模式; 改革; 創(chuàng)新; 仿真設(shè)計(jì)
doi : 10 . 3969 / j . issn . 1673 - 0194 . 2013 . 19. 056
[中圖分類號(hào)] G64 [文獻(xiàn)標(biāo)識(shí)碼] A [文章編號(hào)] 1673 - 0194(2013)19- 0102- 02
1 傳統(tǒng)電子線路教學(xué)模式凸顯弊端
在傳統(tǒng)的電子線路體系課程教學(xué)中,工程應(yīng)用是該類課程教學(xué)的宗旨。學(xué)生要想掌握更多、更新的專業(yè)知識(shí)和技能,實(shí)現(xiàn)可持續(xù)發(fā)展,必須學(xué)好電子線路這類課程。對(duì)于這類課的掌握程度,決定了學(xué)生后續(xù)專業(yè)課程學(xué)習(xí)的效果,無(wú)疑影響著學(xué)生學(xué)習(xí)的熱情。從日常教學(xué)和學(xué)生表現(xiàn)的情況了解到,目前電子線路類課程的教學(xué)存在著許多問題,學(xué)生難以入門、學(xué)習(xí)起來(lái)困難、很多概念難以理解、對(duì)所學(xué)知識(shí)無(wú)法應(yīng)用等,使很多學(xué)生難以提起學(xué)習(xí)的興趣,自然也就不可能有好的學(xué)習(xí)效果。究其本質(zhì),是電子線路課程內(nèi)容繁雜、不直觀,對(duì)于初學(xué)者而言,缺乏一個(gè)形象的有機(jī)聯(lián)系。
電子線路教學(xué)實(shí)踐過程中,包含著各種重要的電學(xué)基礎(chǔ)定理、電路分析過程、電路體系測(cè)試與性能,這些都要通過理論分析與實(shí)驗(yàn)來(lái)驗(yàn)證得到結(jié)論。但電學(xué)基礎(chǔ)實(shí)驗(yàn)設(shè)備價(jià)格一般比較昂貴,一般高等學(xué)校不大可能購(gòu)置如數(shù)字存儲(chǔ)示波器、高頻信號(hào)發(fā)生器、邏輯分析儀等先進(jìn)的實(shí)驗(yàn)設(shè)備。
在傳統(tǒng)實(shí)踐教學(xué)中,電子線路實(shí)驗(yàn)不僅需要大量的電子器件等實(shí)驗(yàn)器材,而且有些實(shí)驗(yàn)測(cè)試設(shè)備不能達(dá)到應(yīng)有的實(shí)驗(yàn)精度,使實(shí)驗(yàn)結(jié)果出現(xiàn)偏差。由于即使錯(cuò)接一根導(dǎo)線也可能導(dǎo)致實(shí)驗(yàn)設(shè)備的損壞,因此在實(shí)驗(yàn)過程中,如受測(cè)試儀器本身性能和電子實(shí)驗(yàn)器件的限制,很難從容地顯示各種電路的分析過程;也無(wú)法將測(cè)試儀器上的曲線及數(shù)據(jù)及時(shí)保存和打印;更難以復(fù)現(xiàn)諸如頻譜分析、網(wǎng)絡(luò)分析、多路數(shù)字信號(hào)等電學(xué)過程等。由于設(shè)備問題,有些數(shù)據(jù)也會(huì)偏離正常值,從而混淆了對(duì)電路的理解,影響學(xué)生對(duì)電路的基本原理和性能的理解,失去了理論課教學(xué)的作用。
2 電子線路仿真對(duì)電子線路教學(xué)的促進(jìn)作用
在電子線路教學(xué)中,各個(gè)高校大多采用傳統(tǒng)的理論教學(xué)模式,使得理論課教學(xué)枯燥無(wú)味。采用電子仿真軟件后,理論課教學(xué)可以采用互動(dòng)式教學(xué)方法。可以和學(xué)生互動(dòng),讓學(xué)生參與到電子理論教學(xué)中來(lái),可以大大激發(fā)學(xué)生學(xué)習(xí)理論課的積極性,提高學(xué)生的理論水平,培養(yǎng)學(xué)生分析問題和解決問題的能力。
隨著電子計(jì)算機(jī)技術(shù)的大力發(fā)展,各種電子仿真軟件不斷涌現(xiàn),大大簡(jiǎn)化了電子線路體系課程的教學(xué)。與傳統(tǒng)實(shí)驗(yàn)相比,電子仿真軟件不需要附加實(shí)驗(yàn)信號(hào)源、電子測(cè)量設(shè)備,但與實(shí)際電路運(yùn)行結(jié)果相同,可以進(jìn)行任意設(shè)計(jì)電路,進(jìn)行運(yùn)行、數(shù)據(jù)分析,并且其實(shí)驗(yàn)數(shù)據(jù)和技術(shù)指標(biāo)都是真實(shí)有效的,這樣不僅可以把笨重而昂貴的實(shí)驗(yàn)儀器搬進(jìn)課堂,也可以隨著同學(xué)把實(shí)驗(yàn)室搬到各地。
通過課堂和實(shí)驗(yàn)室實(shí)踐教學(xué)相融合,可增強(qiáng)學(xué)生的學(xué)習(xí)興趣,改善真實(shí)情境,觀察隱藏的電特性及實(shí)驗(yàn)現(xiàn)象,具有安全性能高和利用虛擬實(shí)驗(yàn)儀器節(jié)省經(jīng)費(fèi)等優(yōu)點(diǎn),可以幫助學(xué)生學(xué)習(xí)各種不同的電學(xué)概念,并進(jìn)行各項(xiàng)實(shí)驗(yàn)。這使得在理論教學(xué)中可以穿插實(shí)踐教學(xué)內(nèi)容,直觀性好、學(xué)習(xí)效率高,激發(fā)學(xué)生參與各級(jí)各類電子設(shè)計(jì)大賽的積極性。通過電子線路仿真軟件教學(xué),將大大激發(fā)學(xué)生的學(xué)習(xí)積極性,使原來(lái)枯燥無(wú)味的理論教學(xué)變得形象直觀,增強(qiáng)教師與學(xué)生的互動(dòng)性。
3 電子線路課程體系中教與學(xué)模式的轉(zhuǎn)變
剛學(xué)習(xí)電子線路類專業(yè)課程時(shí),絕大多數(shù)學(xué)生對(duì)專業(yè)知識(shí)體系結(jié)構(gòu)及所要學(xué)習(xí)的內(nèi)容并不了解,隨著學(xué)習(xí)難度的日益加大,其熱情也會(huì)隨之降低。在學(xué)生中,不乏有對(duì)電子線路課程專業(yè)知識(shí)的神秘感、好奇心和自信心,但又缺少對(duì)所學(xué)知識(shí)學(xué)習(xí)的持續(xù)能力。而電子線路體系課程正是自主學(xué)習(xí)消化理解和需要長(zhǎng)時(shí)間探索的基礎(chǔ)類課程。因此,結(jié)合課程學(xué)習(xí)和學(xué)生特點(diǎn),不能應(yīng)用傳統(tǒng)的教學(xué)模式,即先講理論,再進(jìn)行實(shí)踐,這樣就錯(cuò)過了抓住學(xué)生興趣的好時(shí)機(jī)。
以計(jì)算機(jī)技術(shù)為核心的信息技術(shù)的發(fā)展,為電子線路體系課程的教學(xué)提供了現(xiàn)代化的、課堂和專業(yè)實(shí)驗(yàn)室相結(jié)合的教育新技術(shù)。在課堂上,學(xué)生可以跟隨老師同步實(shí)驗(yàn)。課余時(shí)間,學(xué)生可以在計(jì)算機(jī)上完成教師指定的仿真,可以自行設(shè)計(jì)電路,可以對(duì)比不同的輸入變量仿真出各種結(jié)果。這樣就可以使學(xué)生變被動(dòng)為主動(dòng),更好地理解課上所學(xué)內(nèi)容。
4 緊密加強(qiáng)電子線路課程體系之間的內(nèi)在聯(lián)系
電子線路體系課程包括電路理論、模擬電子技術(shù)、數(shù)字電子技術(shù)、高頻電子線路、電子測(cè)量、傳感器、單片機(jī)原理等課程。而一個(gè)完整的實(shí)踐項(xiàng)目?jī)?nèi)容也涵蓋了電子線路體系課程中大部分所學(xué)課程。因此,僅靠單一科目的學(xué)習(xí)無(wú)法滿足項(xiàng)目實(shí)踐的需要。而一個(gè)綜合項(xiàng)目需要的實(shí)作器材、儀表、儀器種類多,涉及各個(gè)學(xué)科單項(xiàng)實(shí)驗(yàn)室,這給綜合項(xiàng)目設(shè)計(jì)帶來(lái)了困難。而電子線路仿真得益于電子計(jì)算機(jī)技術(shù)的發(fā)展,使學(xué)生在非實(shí)驗(yàn)室場(chǎng)地也可完成各種所設(shè)計(jì)的電子系統(tǒng)。電子線路仿真自帶的實(shí)作器材、儀表、儀器種類多,涉及幾乎所有電子線路類課程所需器件,不會(huì)出現(xiàn)因器材、儀器、儀表不足而不能實(shí)驗(yàn)的缺憾。電子線路計(jì)算機(jī)仿真在教學(xué)中應(yīng)用可以大大推動(dòng)電子線路實(shí)踐課程的改革與創(chuàng)新。
5 結(jié) 論
本文利用現(xiàn)代教育技術(shù),將電子線路體系課程和計(jì)算機(jī)工程應(yīng)用有機(jī)地結(jié)合起來(lái),深化與完善電子線路教育教學(xué)體系。大連海洋大學(xué)電子信息工程專業(yè)于20世紀(jì)80年代在電子線路教學(xué)過程中就已經(jīng)引入計(jì)算機(jī)輔助設(shè)計(jì)電子電路方面的課程與實(shí)驗(yàn),加強(qiáng)學(xué)生利用計(jì)算機(jī)設(shè)計(jì)電子線路的能力。目前已經(jīng)開設(shè)電子線路仿真設(shè)計(jì)、電子線路板制作、電子設(shè)計(jì)自動(dòng)化3門課程及其課程設(shè)計(jì)。圍繞電子線路體系課程,諸如電路理論、模擬電子技術(shù)、數(shù)字電子技術(shù)、高頻電子線路、電子測(cè)量等課程設(shè)置電子線路綜合設(shè)計(jì),使課程之間保持著緊密的銜接與交融,大幅度提高學(xué)生綜合利用所學(xué)知識(shí)的能力,效果良好。
主要參考文獻(xiàn)
[1] 徐輝. 傳統(tǒng)電子學(xué)教學(xué)和現(xiàn)代EDA技術(shù)的整合[J]. 湖北教育學(xué)院學(xué)報(bào),2006,23(2):98-101.
[2] 藺智挺. “電子線路計(jì)算機(jī)輔助設(shè)計(jì)”教學(xué)改革探索[J]. 中國(guó)科教創(chuàng)新導(dǎo)刊,2012(12):157-159.
[3] 方亮,吳文全,宋勝鋒. Multisim電子線路仿真設(shè)計(jì)軟件的教學(xué)應(yīng)用[J]. 長(zhǎng)江大學(xué)學(xué)報(bào):自然科學(xué)版,2004,1(4):71-73.
篇2
【關(guān)鍵詞】集成電路 設(shè)計(jì)方法 IP技術(shù)
基于CMOS工藝發(fā)展背景下,CMOS集成電路得到了廣泛應(yīng)用,即到目前為止,仍有95%集成電路融入了CMOS工藝技術(shù),但基于64kb動(dòng)態(tài)存儲(chǔ)器的發(fā)展,集成電路微小化設(shè)計(jì)逐漸引起了人們關(guān)注。因而在此基礎(chǔ)上,為了迎合集成電路時(shí)代的發(fā)展,應(yīng)注重在當(dāng)前集成電路設(shè)計(jì)過程中從微電路、芯片等角度入手,對(duì)集成電路進(jìn)行改善與優(yōu)化,且突出小型化設(shè)計(jì)優(yōu)勢(shì)。以下就是對(duì)集成電路設(shè)計(jì)與IP設(shè)計(jì)技術(shù)的詳細(xì)闡述,望其能為當(dāng)前集成電路設(shè)計(jì)領(lǐng)域的發(fā)展提供參考。
1 當(dāng)前集成電路設(shè)計(jì)方法
1.1 全定制設(shè)計(jì)方法
集成電路,即通過光刻、擴(kuò)散、氧化等作業(yè)方法,將半導(dǎo)體、電阻、電容、電感等元器件集中于一塊小硅片,置入管殼內(nèi),應(yīng)用于網(wǎng)絡(luò)通信、計(jì)算機(jī)、電子技術(shù)等領(lǐng)域中。而在集成電路設(shè)計(jì)過程中,為了營(yíng)造良好的電路設(shè)計(jì)空間,應(yīng)注重強(qiáng)調(diào)對(duì)全定制設(shè)計(jì)方法的應(yīng)用,即在集成電路實(shí)踐設(shè)計(jì)環(huán)節(jié)開展過程中通過版圖編輯工具,對(duì)半導(dǎo)體元器件圖形、尺寸、連線、位置等各個(gè)設(shè)計(jì)環(huán)節(jié)進(jìn)行把控,最終通過版圖布局、布線等,達(dá)到元器件組合、優(yōu)化目的。同時(shí),在元器件電路參數(shù)優(yōu)化過程中,為了滿足小型化集成電路應(yīng)用需求,應(yīng)遵從“自由格式”版圖設(shè)計(jì)原則,且以緊湊的設(shè)計(jì)方法,對(duì)每個(gè)元器件所連導(dǎo)線進(jìn)行布局,就此將芯片尺寸控制到最小狀態(tài)下。例如,隨機(jī)邏輯網(wǎng)絡(luò)在設(shè)計(jì)過程中,為了提高網(wǎng)絡(luò)運(yùn)行速度,即采取全定制集成電路設(shè)計(jì)方法,滿足了網(wǎng)絡(luò)平臺(tái)運(yùn)行需求。但由于全定制設(shè)計(jì)方法在實(shí)施過程中,設(shè)計(jì)周期較長(zhǎng),為此,應(yīng)注重對(duì)其的合理化應(yīng)用。
1.2 半定制設(shè)計(jì)方法
半定制設(shè)計(jì)方法在應(yīng)用過程中需借助原有的單元電路,同時(shí)注重在集成電路優(yōu)化過程中,從單元庫(kù)內(nèi)選取適宜的電壓或壓焊塊,以自動(dòng)化方式對(duì)集成電路進(jìn)行布局、布線,且獲取掩膜版圖。例如,專用集成電路ASIC在設(shè)計(jì)過程中為了減少成本投入量,即采用了半定制設(shè)計(jì)方法,同時(shí)注重在半定制設(shè)計(jì)方式應(yīng)用過程中融入門陣列設(shè)計(jì)理念,即將若干個(gè)器件進(jìn)行排序,且排列為門陣列形式,繼而通過導(dǎo)線連接形式形成統(tǒng)一的電路單元,并保障各單元間的一致性。而在半定制集成電路設(shè)計(jì)過程中,亦可采取標(biāo)準(zhǔn)單元設(shè)計(jì)方式,即要求相關(guān)技術(shù)人員在集成電路設(shè)計(jì)過程中應(yīng)運(yùn)用版圖編輯工具對(duì)集成電路進(jìn)行操控,同時(shí)結(jié)合電路單元版圖,連接、布局集成電路運(yùn)作環(huán)境,達(dá)到布通率100%的集成電路設(shè)計(jì)狀態(tài)。從以上的分析中即可看出,在小型化集成電路設(shè)計(jì)過程中,強(qiáng)調(diào)對(duì)半定制設(shè)計(jì)方法的應(yīng)用,有助于縮短設(shè)計(jì)周期,為此,應(yīng)提高對(duì)其的重視程度。
1.3 基于IP的設(shè)計(jì)方法
基于0.35μmCMOS工藝的推動(dòng)下,傳統(tǒng)的集成電路設(shè)計(jì)方式已經(jīng)無(wú)法滿足計(jì)算機(jī)、網(wǎng)絡(luò)通訊等領(lǐng)域集成電路應(yīng)用需求,因而在此基礎(chǔ)上,為了推動(dòng)各領(lǐng)域產(chǎn)業(yè)的進(jìn)一步發(fā)展,應(yīng)注重融入IP設(shè)計(jì)方法,即在集成電路設(shè)計(jì)過程中將“設(shè)計(jì)復(fù)用與軟硬件協(xié)同”作為導(dǎo)向,開發(fā)單一模塊,并集成、復(fù)用IP,就此將集成電路工作量控制到原有1/10,而工作效益提升10倍。但基于IP視角下,在集成電路設(shè)計(jì)過程中,要求相關(guān)工作人員應(yīng)注重通過專業(yè)IP公司、Foundry積累、EDA廠商等路徑獲取IP核,且基于IP核支撐資源獲取的基礎(chǔ)上,完善檢索系統(tǒng)、開發(fā)庫(kù)管理系統(tǒng)、IP核庫(kù)等,最終對(duì)1700多個(gè)IP核資源進(jìn)行系統(tǒng)化整理,并通過VSIA標(biāo)準(zhǔn)評(píng)估方式,對(duì)IP核集成電路運(yùn)行環(huán)境的安全性、動(dòng)態(tài)性進(jìn)行質(zhì)量檢測(cè)、評(píng)估,規(guī)避集成電路故障問題的凸顯,且達(dá)到最佳的集成電路設(shè)計(jì)狀態(tài)。另外,在IP集成電路設(shè)計(jì)過程中,亦應(yīng)注重增設(shè)HDL代碼等檢測(cè)功能,從而滿足集成電路設(shè)計(jì)要求,達(dá)到最佳的設(shè)計(jì)狀態(tài),且更好的應(yīng)用于計(jì)算機(jī)、網(wǎng)絡(luò)通訊等領(lǐng)域中。
2 集成電路設(shè)計(jì)中IP設(shè)計(jì)技術(shù)分析
基于IP的設(shè)計(jì)技術(shù),主要分為軟核、硬核、固核三種設(shè)計(jì)方式,同時(shí)在IP系統(tǒng)規(guī)劃過程中,需完善32位處理器,同時(shí)融入微處理器、DSP等,繼而應(yīng)用于Internet、USB接口、微處理器核、UART等運(yùn)作環(huán)境下。而IP設(shè)計(jì)技術(shù)在應(yīng)用過程中對(duì)測(cè)試平臺(tái)支撐條件提出了更高的要求,因而在IP設(shè)計(jì)環(huán)節(jié)開展過程中,應(yīng)注重選用適宜的接口,寄存I/O,且以獨(dú)立性IP模塊設(shè)計(jì)方式,對(duì)芯片布局布線進(jìn)行操控,簡(jiǎn)化集成電路整體設(shè)計(jì)過程。此外,在IP設(shè)計(jì)技術(shù)應(yīng)用過程中,必須突出全面性特點(diǎn),即從特性概述、框圖、工作描述、版圖信息、軟模型/HDL模型等角度入手,推進(jìn)IP文件化,最終實(shí)現(xiàn)對(duì)集成電路設(shè)計(jì)信息的全方位反饋。另外,就當(dāng)前的現(xiàn)狀來(lái)看,IP設(shè)計(jì)技術(shù)涵蓋了ASIC測(cè)試、系統(tǒng)仿真、ASIC模擬、IP繼承等設(shè)計(jì)環(huán)節(jié),且制定了IP戰(zhàn)略,因而有助于減少IP集成電路開發(fā)風(fēng)險(xiǎn),為此,在當(dāng)前集成電路設(shè)計(jì)工作開展過程中應(yīng)融入IP設(shè)計(jì)技術(shù),并建構(gòu)AMBA總線等,打造良好的集成電路運(yùn)行環(huán)境,強(qiáng)化整體電路集成度,達(dá)到最佳的電路布局、規(guī)劃狀態(tài)。
3 結(jié)論
綜上可知,集成電路被廣泛應(yīng)用于計(jì)算機(jī)等產(chǎn)業(yè)發(fā)展領(lǐng)域,推進(jìn)了社會(huì)的進(jìn)步。為此,為了降低集成電路設(shè)計(jì)風(fēng)險(xiǎn),減少開發(fā)經(jīng)費(fèi),縮短開發(fā)時(shí)間,要求相關(guān)技術(shù)人員在集成電路設(shè)計(jì)工作開展過程中應(yīng)注重強(qiáng)調(diào)對(duì)基于IP的設(shè)計(jì)方法、半定制設(shè)計(jì)方法、全定制設(shè)計(jì)方法等的應(yīng)用,同時(shí)注重引入IP設(shè)計(jì)技術(shù)理念,完善ASIC模擬、系統(tǒng)測(cè)試等集成電路設(shè)計(jì)功能,最終就此規(guī)避電路開發(fā)中故障問題的凸顯,達(dá)到最佳的集成電路開發(fā)、設(shè)計(jì)狀態(tài)。
參考文獻(xiàn)
[1]肖春花.集成電路設(shè)計(jì)方法及IP重用設(shè)計(jì)技術(shù)研究[J].電子技術(shù)與軟件工程,2014,12(06):190-191.
[2]李群,樊麗春.基于IP技術(shù)的模擬集成電路設(shè)計(jì)研究[J].科技創(chuàng)新導(dǎo)報(bào),2013,12(08):56-57.
[3]中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)關(guān)于舉辦“中國(guó)集成電路設(shè)計(jì)業(yè)2014年會(huì)暨中國(guó)內(nèi)地與香港集成電路產(chǎn)業(yè)協(xié)作發(fā)展高峰論壇”的通知[J].中國(guó)集成電路,2014,20(10):90-92.
篇3
在非微電子專業(yè)如計(jì)算機(jī)、通信、信號(hào)處理、自動(dòng)化、機(jī)械等專業(yè)開設(shè)集成電路設(shè)計(jì)技術(shù)相關(guān)課程,一方面,這些專業(yè)的學(xué)生有電子電路基礎(chǔ)知識(shí),又有自己本專業(yè)的知識(shí),可以從本專業(yè)的系統(tǒng)角度來(lái)理解和設(shè)計(jì)集成電路芯片,非常適合進(jìn)行各種應(yīng)用的集成電路芯片設(shè)計(jì)階段的工作,這些專業(yè)也是目前芯片設(shè)計(jì)需求最旺盛的領(lǐng)域;另一方面,對(duì)于這些專業(yè)學(xué)生的應(yīng)用特點(diǎn),不宜也不可能開設(shè)微電子專業(yè)的所有課程,也不宜將集成電路設(shè)計(jì)階段的許多技術(shù)(如低功耗設(shè)計(jì)、可測(cè)性設(shè)計(jì)等)開設(shè)為單獨(dú)課程,而是要將相應(yīng)課程整合,開設(shè)一到二門集成電路設(shè)計(jì)的綜合課程,使學(xué)生既能夠掌握集成電路設(shè)計(jì)基本技術(shù)流程,也能夠了解集成電路設(shè)計(jì)方面更深層的技術(shù)和發(fā)展趨勢(shì)。因此,在課程的具體設(shè)置上,應(yīng)該把握以下原則。理論講授與實(shí)踐操作并重集成電路設(shè)計(jì)技術(shù)是一門實(shí)踐性非常強(qiáng)的課程。隨著電子信息技術(shù)的飛速發(fā)展,采用EDA工具進(jìn)行電路輔助設(shè)計(jì),已經(jīng)成為集成電路芯片主流的設(shè)計(jì)方法。因此,在理解電路和芯片設(shè)計(jì)的基本原理和流程的基礎(chǔ)上,了解和掌握相關(guān)設(shè)計(jì)工具,是掌握集成電路設(shè)計(jì)技術(shù)的重要環(huán)節(jié)。技能培訓(xùn)與前瞻理論皆有在課程的內(nèi)容設(shè)置中,既要有使學(xué)生掌握集成電路芯片設(shè)計(jì)能力和技術(shù)的講授和實(shí)踐,又有對(duì)集成電路芯片設(shè)計(jì)新技術(shù)和更高層技術(shù)的介紹。這樣通過本門課程的學(xué)習(xí),一方面,學(xué)員掌握了一項(xiàng)實(shí)實(shí)在在有用的技術(shù);另一方面,學(xué)員了解了該項(xiàng)技術(shù)的更深和更新的知識(shí),有利于在碩、博士階段或者在工作崗位上,對(duì)集成電路芯片設(shè)計(jì)技術(shù)的繼續(xù)研究和學(xué)習(xí)。基礎(chǔ)理論和技術(shù)流程隔離由于是針對(duì)非微電子專業(yè)開設(shè)的課程,因此在課程講授中不涉及電路設(shè)計(jì)的一些原理性知識(shí),如半導(dǎo)體物理及器件、集成電路的工藝原理等,而是將主要精力放在集成電路芯片的設(shè)計(jì)與實(shí)現(xiàn)技術(shù)上,這樣非微電子專業(yè)的學(xué)生能夠很容易入門,提高其學(xué)習(xí)興趣和熱情。
2非微電子專業(yè)集成電路設(shè)計(jì)課程實(shí)踐
根據(jù)以上原則,信息工程大學(xué)根據(jù)具體實(shí)際,在計(jì)算機(jī)、通信、信號(hào)處理、密碼等相關(guān)專業(yè)開設(shè)集成電路芯片設(shè)計(jì)技術(shù)課程,根據(jù)近兩年的教學(xué)情況來(lái)看,取得良好的效果。該課程的主要特點(diǎn)如下。優(yōu)化的理論授課內(nèi)容1)集成電路芯片設(shè)計(jì)概論:介紹IC設(shè)計(jì)的基本概念、IC設(shè)計(jì)的關(guān)鍵技術(shù)、IC技術(shù)的發(fā)展和趨勢(shì)等內(nèi)容。使學(xué)員對(duì)IC設(shè)計(jì)技術(shù)有一個(gè)大概而全面的了解,了解IC設(shè)計(jì)技術(shù)的發(fā)展歷程及基本情況,理解IC設(shè)計(jì)技術(shù)的基本概念;了解IC設(shè)計(jì)發(fā)展趨勢(shì)和新技術(shù),包括軟硬件協(xié)同設(shè)計(jì)技術(shù)、IC低功耗設(shè)計(jì)技術(shù)、IC可重用設(shè)計(jì)技術(shù)等。2)IC產(chǎn)業(yè)鏈及設(shè)計(jì)流程:介紹集成電路產(chǎn)業(yè)的歷史變革、目前形成的“四業(yè)分工”,以及數(shù)字IC設(shè)計(jì)流程等內(nèi)容。使學(xué)員了解集成電路產(chǎn)業(yè)的變革和分工,了解設(shè)計(jì)、制造、封裝、測(cè)試等環(huán)節(jié)的一些基本情況,了解數(shù)字IC的整個(gè)設(shè)計(jì)流程,包括代碼編寫與仿真、邏輯綜合與布局布線、時(shí)序驗(yàn)證與物理驗(yàn)證及芯片面積優(yōu)化、時(shí)鐘樹綜合、掃描鏈插入等內(nèi)容。3)RTL硬件描述語(yǔ)言基礎(chǔ):主要講授Verilog硬件描述語(yǔ)言的基本語(yǔ)法、描述方式、設(shè)計(jì)方法等內(nèi)容。使學(xué)員能夠初步掌握使用硬件描述語(yǔ)言進(jìn)行數(shù)字邏輯電路設(shè)計(jì)的基本語(yǔ)法,了解大型電路芯片的基本設(shè)計(jì)規(guī)則和設(shè)計(jì)方法,并通過設(shè)計(jì)實(shí)踐學(xué)習(xí)和鞏固硬件電路代碼編寫和調(diào)試能力。4)系統(tǒng)集成設(shè)計(jì)基礎(chǔ):主要講授更高層次的集成電路芯片如片上系統(tǒng)(SoC)、片上網(wǎng)絡(luò)(NoC)的基本概念和集成設(shè)計(jì)方法。使學(xué)員初步了解大規(guī)模系統(tǒng)級(jí)芯片架構(gòu)設(shè)計(jì)的基礎(chǔ)方法及主要片內(nèi)嵌入式處理器核。
豐富的實(shí)踐操作內(nèi)容1)Verilog代碼設(shè)計(jì)實(shí)踐:學(xué)習(xí)通過課下編碼、上機(jī)調(diào)試等方式,初步掌握使用Verilog硬件描述語(yǔ)言進(jìn)行基本數(shù)字邏輯電路設(shè)計(jì)的能力,并通過給定的IP核或代碼模塊的集成,掌握大型芯片電路的集成設(shè)計(jì)能力。2)IC前端設(shè)計(jì)基礎(chǔ)實(shí)踐:依托Synopsys公司數(shù)字集成電路前端設(shè)計(jì)平臺(tái)DesignCompiler,使學(xué)員通過上機(jī)演練,初步掌握使用DesignCompiler進(jìn)行集成電路前端設(shè)計(jì)的流程和方法,主要包括RTL綜合、時(shí)序約束、時(shí)序優(yōu)化、可測(cè)性設(shè)計(jì)等內(nèi)容。3)IC后端設(shè)計(jì)基礎(chǔ)實(shí)踐:依托Synopsys公司數(shù)字集成電路后端設(shè)計(jì)平臺(tái)ICCompiler,使學(xué)員通過上機(jī)演練,初步掌握使用ICCompiler進(jìn)行集成電路后端設(shè)計(jì)的流程和方法,主要包括后端設(shè)計(jì)準(zhǔn)備、版圖規(guī)劃與電源規(guī)劃、物理綜合與全局優(yōu)化、時(shí)鐘樹綜合、布線操作、物理驗(yàn)證與最終優(yōu)化等內(nèi)容。靈活的考核評(píng)價(jià)機(jī)制1)IC設(shè)計(jì)基本知識(shí)筆試:通過閉卷考試的方式,考查學(xué)員隊(duì)IC設(shè)計(jì)的一些基本知識(shí),如基本概念、基本設(shè)計(jì)流程、簡(jiǎn)單的代碼編寫等。2)IC設(shè)計(jì)上機(jī)實(shí)踐操作:通過上機(jī)操作的形式,給定一個(gè)具體并相對(duì)簡(jiǎn)單的芯片設(shè)計(jì)代碼,要求學(xué)員使用Synopsys公司數(shù)字集成電路設(shè)計(jì)前后端平臺(tái),完成整個(gè)芯片的前后端設(shè)計(jì)和驗(yàn)證流程。3)IC設(shè)計(jì)相關(guān)領(lǐng)域報(bào)告:通過撰寫報(bào)告的形式,要求學(xué)員查閱IC設(shè)計(jì)領(lǐng)域的相關(guān)技術(shù)文獻(xiàn),包括該領(lǐng)域的前沿研究技術(shù)、設(shè)計(jì)流程中相關(guān)技術(shù)點(diǎn)的深入研究、集成電路設(shè)計(jì)領(lǐng)域的發(fā)展歷程和趨勢(shì)等,撰寫相應(yīng)的專題報(bào)告。
3結(jié)語(yǔ)
篇4
關(guān)鍵詞:專用集成電路設(shè)計(jì);創(chuàng)新;教學(xué);探討
中圖分類號(hào):G424文獻(xiàn)標(biāo)識(shí)碼:A文章編號(hào):1009-3044(2010)04-0920-02
Discussing about How to Teach the "Design of Application-Specific Integrated Circuit" Course
WU Yu-hua
(Beijing Electronic Science and Technology Institute, Beijing 100070, China)
Abstract: "Design of Application-Specific Integrated Circuit" is an important specialty course. In this paper, we will discuss the teaching technique about this course of non-micro-electronics specialty. Combining the teaching practice, several teaching experiences about "Design of Application-Specific Integrated Circuit" course are summarized.
Key words: design of application-specific integrated circuit; innovate; teaching; discuss
《專用集成電路設(shè)計(jì)》是電氣信息類專業(yè)開設(shè)的一門比較重要的專業(yè)課。為了培養(yǎng)寬口徑、基礎(chǔ)扎實(shí)的集成電路設(shè)計(jì)人才,滿足IC行業(yè)對(duì)人才的大量需求,無(wú)論是在微電子專業(yè),還是在相關(guān)的其他電氣信息類專業(yè),不少重點(diǎn)高等院校都已經(jīng)開設(shè)了本門課程。在學(xué)生已經(jīng)掌握了模擬電子技術(shù)、數(shù)字電子技術(shù)和一定的晶體管原理知識(shí)的基礎(chǔ)上,通過學(xué)習(xí)《專用集成電路設(shè)計(jì)》課,進(jìn)行ASIC設(shè)計(jì)理論的學(xué)習(xí)和實(shí)踐的強(qiáng)化,進(jìn)一步掌握集成電路和電路系統(tǒng)的設(shè)計(jì)知識(shí),提高集成電路設(shè)計(jì)能力,增長(zhǎng)集成電路設(shè)計(jì)經(jīng)驗(yàn);通過理論教學(xué)和實(shí)踐教學(xué),來(lái)加強(qiáng)電氣信息類專業(yè)學(xué)生的電路設(shè)計(jì)基礎(chǔ)、版圖設(shè)計(jì)基礎(chǔ)以及集成電路設(shè)計(jì)各環(huán)節(jié)的驗(yàn)證知識(shí)等,培養(yǎng)學(xué)生在集成電路設(shè)計(jì)方面的研究興趣,為后續(xù)課程的學(xué)習(xí)和進(jìn)一步的深造打好基礎(chǔ)。
由于專業(yè)建設(shè)和人才培養(yǎng)的需要,北京電子科技學(xué)院同樣開設(shè)了《專用集成電路設(shè)計(jì)》的專業(yè)選修課,授課對(duì)象是電子信息工程專業(yè)的本科生,由于非微電子的專業(yè)背景原因,他們并不具備足夠的半導(dǎo)體物理、晶體管原理等知識(shí),因此在本課程的教學(xué)過程中,必然要針對(duì)具體對(duì)象,調(diào)整教學(xué)內(nèi)容,創(chuàng)新教學(xué)思路,加強(qiáng)教學(xué)研究,找到一種適合于非微電子專業(yè)本科生的教學(xué)思想和教學(xué)方法。通過教學(xué)實(shí)踐,學(xué)生對(duì)于課程組在這一課程中的創(chuàng)新、探索和具體的教學(xué)方法比較認(rèn)可。這里把我們?cè)凇秾S眉呻娐吩O(shè)計(jì)》課教學(xué)實(shí)踐中的初步探索做一些總結(jié),希望與大家分享。
1 結(jié)合實(shí)際合理設(shè)置授課內(nèi)容,以學(xué)生能夠接受為目標(biāo)
電子信息工程專業(yè)的學(xué)生在學(xué)習(xí)《專用集成電路設(shè)計(jì)》課程之前,已經(jīng)系統(tǒng)地學(xué)習(xí)了《電路分析》、《模擬電子技術(shù)》、《數(shù)字電子技術(shù)》、《EDA技術(shù)》等有關(guān)電子技術(shù)和電路系統(tǒng)的課程,對(duì)于電路系統(tǒng)的設(shè)計(jì)已經(jīng)有了一定的理解,并進(jìn)行過比較系統(tǒng)的動(dòng)手實(shí)踐訓(xùn)練,為進(jìn)一步學(xué)習(xí)《專用集成電路設(shè)計(jì)》課程打下了比較堅(jiān)實(shí)的知識(shí)基礎(chǔ)和實(shí)踐基礎(chǔ)。但是由于專業(yè)背景的原因,該專業(yè)不太可能只是為了《專用集成電路設(shè)計(jì)》課而專門開設(shè)《半導(dǎo)體物理》、《晶體管原理》等這些在微電子專業(yè)才有的課程,因此,與微電子專業(yè)相比,電子信息工程專業(yè)的本科生欠缺有關(guān)晶體管原理和半導(dǎo)體工藝等方面的必要知識(shí)。在設(shè)置授課內(nèi)容時(shí),必然要考慮到這一點(diǎn),總的原則應(yīng)當(dāng)是以學(xué)生能夠接受、但又不應(yīng)該過于輕松接受為目標(biāo),而且要盡量避免與《EDA技術(shù)》等課程的知識(shí)重復(fù)。
根據(jù)我們的課程內(nèi)容設(shè)置原則,將《專用集成電路設(shè)計(jì)》課的講授內(nèi)容分為以下幾章:第一章:ASIC設(shè)計(jì)概述;第二章:CMOS邏輯;第三章:ASIC庫(kù)設(shè)計(jì);第四章:ASIC的前端設(shè)計(jì);第五章:ASIC的后端設(shè)計(jì);第六章:可測(cè)性設(shè)計(jì)技術(shù);第七章:SOC設(shè)計(jì)技術(shù)簡(jiǎn)介。在各章的講授中,占用課時(shí)較多的分別是第二章、第三章和第五章。在講授時(shí)強(qiáng)調(diào)培養(yǎng)學(xué)生的系統(tǒng)設(shè)計(jì)能力,使學(xué)生對(duì)專用集成電路的設(shè)計(jì)、制造、測(cè)試等一整套流程有一般性、整體性的了解,建立專用集成電路的基本概念和方法,了解IC領(lǐng)域的最新發(fā)展趨勢(shì),激發(fā)學(xué)生潛在的對(duì)集成電路前、后端設(shè)計(jì)的興趣。為了配合理論教學(xué),提升教學(xué)效果,還設(shè)置了合適的實(shí)驗(yàn)教學(xué)內(nèi)容。
2 注重實(shí)驗(yàn)教學(xué)效果,以培養(yǎng)動(dòng)手實(shí)踐能力為目標(biāo)
集成電路設(shè)計(jì)類課程除了理論教學(xué)以外,實(shí)驗(yàn)教學(xué)尤為重要,因?yàn)檫@類課程對(duì)學(xué)生的訓(xùn)練重點(diǎn)正是在于動(dòng)手實(shí)驗(yàn),提前接觸到未來(lái)在進(jìn)一步的研究和工作中可能會(huì)應(yīng)用到的一些軟件工具、設(shè)計(jì)流程以及設(shè)計(jì)技巧等,這樣才能促進(jìn)學(xué)生理論與實(shí)踐相結(jié)合,真正幫助學(xué)生掌握ASIC設(shè)計(jì)技術(shù)。因此本課程要更加注重實(shí)驗(yàn)教學(xué)效果,著力培養(yǎng)學(xué)生的動(dòng)手實(shí)踐能力,進(jìn)而使學(xué)生能夠更加準(zhǔn)確、具體和形象地掌握在課堂上學(xué)到的理論知識(shí)。根據(jù)這一原則,經(jīng)過試用修訂,我們專門編印了《專用集成電路設(shè)計(jì)實(shí)驗(yàn)指導(dǎo)書》,根據(jù)大綱的變化,使用工具版本的提高,目前已經(jīng)編印了2007版和2009版的實(shí)驗(yàn)指導(dǎo)書,共設(shè)計(jì)了五個(gè)實(shí)驗(yàn),具體是:實(shí)驗(yàn)一:IC設(shè)計(jì)工具的使用;實(shí)驗(yàn)二:單元電路的前端設(shè)計(jì);實(shí)驗(yàn)三:標(biāo)準(zhǔn)單元的版圖繪制與驗(yàn)證;實(shí)驗(yàn)四:四位加法器和減法器ASIC的設(shè)計(jì);實(shí)驗(yàn)五:計(jì)數(shù)器ASIC的設(shè)計(jì)。每個(gè)實(shí)驗(yàn)3學(xué)時(shí),其中實(shí)驗(yàn)二、實(shí)驗(yàn)四和實(shí)驗(yàn)五為綜合性、設(shè)計(jì)性實(shí)驗(yàn)。
選用一種合適的集成電路設(shè)計(jì)工具是順利進(jìn)行實(shí)踐教學(xué)的關(guān)鍵。我們選用了美國(guó)Tanner Research公司開發(fā)的一種優(yōu)秀集成電路設(shè)計(jì)工具――Tanner Tools Pro,它雖然在功能上不如Cadence、Synopsys等大型工具強(qiáng)大,但它的最大優(yōu)點(diǎn)是成本低,可以在PC機(jī)上使用,而且圖形處理速度快,編輯功能強(qiáng),便于學(xué)習(xí),使用方便,特別適用于高校進(jìn)行相關(guān)的教學(xué)和科研工作。Tanner Pro工具在美國(guó)和臺(tái)灣的很多大學(xué)中早已被廣泛應(yīng)用,臺(tái)灣不少IC設(shè)計(jì)企業(yè)也在使用Tanner Pro工具。該工具較新版本為Tanner Tools Pro 13.0,主要包含了S-EDIT(原理圖編輯)、L-EDIT(版圖編輯)、T-SPICE(電路仿真)、W-EDIT(波形觀察)和LVS(版圖與原理圖比對(duì))等幾個(gè)功能不同的子工具,滿足了集成電路設(shè)計(jì)從前端到后端、設(shè)計(jì)驗(yàn)證的一系列過程的需要,完全可以適用于《專用集成電路設(shè)計(jì)》課程的實(shí)踐教學(xué)。通過我們?cè)谡n程實(shí)驗(yàn)、畢業(yè)設(shè)計(jì)等實(shí)踐教學(xué)環(huán)節(jié)的使用,發(fā)現(xiàn)學(xué)生對(duì)這個(gè)工具上手快、掌握熟,對(duì)于以后使用其他的IC設(shè)計(jì)工具也有一定的幫助,而且培養(yǎng)了他們將來(lái)涉足IC設(shè)計(jì)領(lǐng)域的興趣和信心。圖1是學(xué)生在實(shí)踐教學(xué)中得到的一個(gè)版圖設(shè)計(jì)結(jié)果。
3 適當(dāng)講授最新技術(shù)進(jìn)展,以讓學(xué)生跟上行業(yè)發(fā)展腳步為目標(biāo)
我們都知道,集成電路設(shè)計(jì)技術(shù)、制造工藝等的發(fā)展速度飛快,遵循著集成電路最小特征尺寸以每三年減小70%的速度下降、集成度每年翻一番和價(jià)格每?jī)赡晗陆狄话氲闹哪柖?集成電路的設(shè)計(jì)和制造技術(shù)發(fā)展日新月異。因此,在《專用集成電路設(shè)計(jì)》的教學(xué)過程中,必須要根據(jù)教學(xué)大綱的要求,在系統(tǒng)講授已經(jīng)設(shè)置好的教學(xué)內(nèi)容的前提下,結(jié)合具體授課內(nèi)容,適當(dāng)講授最新技術(shù)進(jìn)展,以期讓學(xué)生跟上集成電路設(shè)計(jì)行業(yè)發(fā)展的腳步,并不斷將這些新技術(shù)、新進(jìn)展、新方法、新工具、新工藝融入到授課內(nèi)容中,做到授課內(nèi)容常講常新。其實(shí)這除了讓學(xué)生可以接受到最新的知識(shí)和了解到該領(lǐng)域最新進(jìn)展之外,同時(shí)也是一個(gè)教學(xué)相長(zhǎng)的過程,對(duì)于教師的教學(xué)和相關(guān)科研也是一種無(wú)形的促進(jìn),可以督促教師不斷地跟蹤與IC設(shè)計(jì)、制造相關(guān)的最新研究成果,并進(jìn)行精心的組織,將這些成果有機(jī)融入到課程教學(xué)中,做到授課內(nèi)容的不斷更新,而且這樣也才能夠避免一份講稿多年重復(fù)使用,保證教師在教學(xué)中的激情,增強(qiáng)教學(xué)效果。
在這里僅僅舉一個(gè)具體例子。在一次講授到集成電路工藝的內(nèi)容時(shí),作者為同學(xué)們講授了不斷發(fā)展的集成電路工藝水平,以及所遇到的工藝發(fā)展瓶頸對(duì)于摩爾定律的挑戰(zhàn),還具體講到了Intel公司新推出的0.45nm工藝的CPU,它采用了大大不同于以往的工藝方法,這次工藝變革可以稱得上是“拯救摩爾定律”的一大技術(shù)進(jìn)展。本次課后,不少同學(xué)紛紛通過互聯(lián)網(wǎng)等來(lái)查閱這一最新工藝的具體情形,表現(xiàn)出了濃厚的學(xué)習(xí)興趣。
4 創(chuàng)新課程考查方式,以激發(fā)學(xué)生進(jìn)一步的研究興趣為目標(biāo)
一門課程的考查方式如何,對(duì)于這門課程能不能按照教師的預(yù)想,達(dá)到既定的最終教學(xué)目的,有著比較重要的作用。傳統(tǒng)的一張?jiān)嚲砣ァ翱肌背鰧W(xué)生學(xué)習(xí)效果的方式雖然比較簡(jiǎn)單省事,但卻過于單調(diào),雖然從某種程度上能夠考查出學(xué)生對(duì)這門課程知識(shí)的掌握程度,但是對(duì)于激發(fā)學(xué)生在學(xué)完這門課程之后,對(duì)本學(xué)科、本領(lǐng)域進(jìn)行進(jìn)一步研究的興趣卻作用不大。由于自從接受學(xué)校教育以來(lái)經(jīng)歷了無(wú)數(shù)次的考試,不少學(xué)生厭煩考試的情緒比較嚴(yán)重,恨不得考完后把教材、作業(yè)、筆記等都馬上丟棄,這是現(xiàn)實(shí)存在的、我們必須得承認(rèn)的事實(shí)。從某種意義上說(shuō),通過考試來(lái)考查學(xué)生的學(xué)習(xí),有時(shí)對(duì)最終教學(xué)目標(biāo)的實(shí)現(xiàn)會(huì)起到一定的反作用。而且單純考試的方式也很難發(fā)現(xiàn)學(xué)生對(duì)于這門課、這個(gè)領(lǐng)域、這個(gè)行業(yè)的獨(dú)特想法和創(chuàng)新思路。
作者在《專用集成電路設(shè)計(jì)》教學(xué)過程中,結(jié)合課程的專業(yè)特點(diǎn),積極探索并實(shí)踐了采用提交論文和現(xiàn)場(chǎng)答辯相結(jié)合的課程考查方式,即在課程講授到二分之一左右時(shí),布置給學(xué)生論文題目,對(duì)于論文的范圍、參考文獻(xiàn)的篇數(shù)、論文的格式和字?jǐn)?shù)等做出明確而具體的規(guī)范,要求學(xué)生在最后一次課之前提交自己的論文,做好答辯ppt,并利用專門的時(shí)間集中進(jìn)行答辯,每位學(xué)生對(duì)自己準(zhǔn)備的論文,進(jìn)行5分鐘左右的講解,并接受教師和其他學(xué)生的提問。通過創(chuàng)新課程考查方式,提交論文和現(xiàn)場(chǎng)答辯相結(jié)合,讓學(xué)生在準(zhǔn)備論文和答辯材料的過程中對(duì)專用集成電路設(shè)計(jì)的有關(guān)內(nèi)容和工藝、方法等有了更加深刻的理解,并有了一個(gè)系統(tǒng)的知識(shí)梳理過程,現(xiàn)場(chǎng)答辯的方式也更能夠展現(xiàn)學(xué)生對(duì)于集成電路設(shè)計(jì)的一些獨(dú)特的思路和創(chuàng)新性的理解,學(xué)生在經(jīng)歷這一過程時(shí),也促使自己積極思考,主動(dòng)研究,努力去探索和集成電路、微電子學(xué)有關(guān)的一些研究方法和最新進(jìn)展,激發(fā)自己在完成本門課程的學(xué)習(xí)后、甚至是大學(xué)畢業(yè)后進(jìn)行進(jìn)一步研究的興趣和信心;另外還在這個(gè)過程中提升了學(xué)生的論文寫作能力、科學(xué)研究能力。
5 結(jié)束語(yǔ)
《專用集成電路設(shè)計(jì)》課(或者其他名稱的類似課程)在不少設(shè)有微電子學(xué)專業(yè)的重點(diǎn)大學(xué)中開設(shè)較為普遍,但在沒有微電子學(xué)專業(yè)的高校特別是非重點(diǎn)高校中開設(shè)并不多,對(duì)于該課程教學(xué)實(shí)踐中的一些具體的方法研究和探討需要更加深入。作者在教學(xué)實(shí)踐中,緊密圍繞本校、本專業(yè)的培養(yǎng)目標(biāo),以授課對(duì)象為主體,遵循課程的教學(xué)規(guī)律和科學(xué)研究規(guī)律,選擇合適的授課內(nèi)容和教學(xué)方法,并且不斷地對(duì)此進(jìn)行探索和研究,收到了初步的教學(xué)效果。當(dāng)然,教學(xué)創(chuàng)新永無(wú)止境,教學(xué)方法的研究和探討不能止步,作為一名年輕教師,在今后的教學(xué)實(shí)踐中,作者將在加強(qiáng)學(xué)習(xí)以及與同行交流的前提下,進(jìn)一步拓寬和創(chuàng)新教學(xué)思路,探索和完善教學(xué)模式,研究和更新教學(xué)內(nèi)容,學(xué)習(xí)和探討教學(xué)技巧,敢于創(chuàng)新,善于創(chuàng)新,真正做到教好書,育好人。
參考文獻(xiàn):
[1] Michael John Sebastian Smith.專用集成電路[M].虞惠華,等,譯.北京:電子工業(yè)出版社,2004.
[2] 路而紅.專用集成電路設(shè)計(jì)與電子設(shè)計(jì)自動(dòng)化[M].北京:清華大學(xué)出版社,2004.
[3] 廖裕評(píng),陸瑞強(qiáng).集成電路設(shè)計(jì)與布局實(shí)戰(zhàn)指導(dǎo)[M].北京:科學(xué)出版社,2004.
篇5
【關(guān)鍵詞】EDA技術(shù);QuartusⅡ;電子設(shè)計(jì);VHDL
1.引言
集成電路設(shè)計(jì)不斷向超大規(guī)模、低功率、超高速方向發(fā)展,其核心技術(shù)是基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)技術(shù)。EDA(Electronic Design Automation,電子設(shè)計(jì)自動(dòng)化)技術(shù),以集成電路設(shè)計(jì)為目標(biāo),以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語(yǔ)言(VHDL、VerilogHDL)為設(shè)計(jì)語(yǔ)言,以EDA軟件工具為開發(fā)環(huán)境,利用強(qiáng)大計(jì)算機(jī)技術(shù)來(lái)輔助人們自動(dòng)完成邏輯化和仿真測(cè)試,直到既定的電子產(chǎn)品的設(shè)計(jì)完成。其融合了,大規(guī)模集成電路制造技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù),可以進(jìn)行電子電路設(shè)計(jì)、仿真,PCB設(shè)計(jì),CPLD/FPGA設(shè)計(jì)等。簡(jiǎn)言之,EDA技術(shù)可概括為在開發(fā)軟件(本文用QuartusⅡ)環(huán)境里,用硬件描述語(yǔ)言對(duì)電路進(jìn)行描述,然后經(jīng)過編譯、仿真、修改環(huán)節(jié)后,最終下載到設(shè)計(jì)載體(CPLD、FPGA)中,從而完成電路設(shè)計(jì)的新技術(shù)。
以EDA技術(shù)為核心的現(xiàn)代電子設(shè)計(jì)方法和傳統(tǒng)的電子設(shè)計(jì)方法相比有很大的優(yōu)點(diǎn),兩種設(shè)計(jì)方法的流程如下圖:
圖1 傳統(tǒng)電子設(shè)計(jì)流程圖
圖2 基于EDA的現(xiàn)代電子設(shè)計(jì)流程圖
比較兩種設(shè)計(jì)方法,基于EDA技術(shù)的現(xiàn)在電子設(shè)計(jì)方法采用自上而下的設(shè)計(jì)方法,系統(tǒng)設(shè)計(jì)的早期便可進(jìn)行逐層仿真和修改,借助計(jì)算機(jī)平臺(tái),降低了電路設(shè)計(jì)和測(cè)試的難度,極大程度地縮短了電子產(chǎn)品的設(shè)計(jì)周期、節(jié)約了電子產(chǎn)品的設(shè)計(jì)成本。DEA技術(shù)極大的促進(jìn)了現(xiàn)代電子技術(shù)的發(fā)展,已成為現(xiàn)代電子技術(shù)的核心。
2.QuartusⅡ軟件開發(fā)環(huán)境介紹
QuartusⅡ軟件是Alter公司開發(fā)的綜合性EDA工具軟件,提供了強(qiáng)大的電子設(shè)計(jì)功能,充分發(fā)揮了FPGA、CPLD和結(jié)構(gòu)化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設(shè)計(jì)輸入,把設(shè)計(jì)、布局布線和驗(yàn)證功能以及第三方EDA工具無(wú)縫的集成在一起。QuartusⅡ與Alter公司的上一代設(shè)計(jì)工具M(jìn)AX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發(fā)環(huán)境的設(shè)計(jì)人員可以快速熟練應(yīng)用。相比之下,QuartusⅡ軟件功能更為強(qiáng)大、設(shè)計(jì)電路更為便捷,支持的器件更多。增強(qiáng)了自動(dòng)化程度,縮短了編譯時(shí)間,提升了調(diào)試效率。從而縮短了電子產(chǎn)品的設(shè)計(jì)周期。利用QuartusⅡ軟件進(jìn)行電子電路設(shè)計(jì)流程如圖3所示。
圖3 QuartusⅡ設(shè)計(jì)流程圖
3.在QuartusⅡ環(huán)境下的EDA方法設(shè)計(jì)實(shí)例
下面本文在QuartusⅡ環(huán)境下,以下降沿D觸發(fā)器的設(shè)計(jì)為例來(lái)說(shuō)明基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法(本文以QuartusⅡ9.0為例)。
3.1 在計(jì)算機(jī)上安裝QuartusⅡ9.0版本軟件
QuartusⅡ9.0對(duì)計(jì)算機(jī)硬件配置要求不高,現(xiàn)階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡(jiǎn)單,按照提示操作即可。
3.2 D觸發(fā)器功能分析
從D觸發(fā)器真值表可以看出,當(dāng)時(shí)鐘信號(hào)clk不論是高電平還是低電平,其輸出q的狀態(tài)都保持不變,當(dāng)時(shí)鐘信號(hào)clk由高電平變?yōu)榈碗娖綍r(shí),輸出信號(hào)q和輸入信號(hào)d的狀態(tài)相同。
表1 D觸發(fā)器真值表
輸入d 時(shí)鐘clk 輸出q
× 0 不變
× 1 不變
0 下降沿 0
1 下降沿 1
3.3 D觸發(fā)器的VHDL描述設(shè)計(jì)
下面給出D觸發(fā)器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
entity dff1 is
port(d,clk:in std_logic;
q:out std_logic);
end dff1;
architecture bhv of dff1 is
begin
process(clk)
begin
if clk='1' then
q<=d;
end if;
end process;
end bhv;
上面程序在QuartusⅡ9.0環(huán)境下,經(jīng)保存后進(jìn)行編譯,然后可進(jìn)行波形仿真。
3.4 設(shè)計(jì)仿真
VHDL描述程序編譯后,建立矢量波形文件,之后可以進(jìn)行波形仿真,得到如下波形仿真圖(如圖4所示):
圖4 D觸發(fā)器仿真波形圖
此仿真波形符合D觸發(fā)器真值表,說(shuō)明電路設(shè)計(jì)正確。如果波形仿真不符合真值表,說(shuō)明電路設(shè)計(jì)有問題,此時(shí)可以回到3.3步驟修改VHDL描述程序,直至仿真結(jié)果正確為止。
波形仿真正確后,可得出相應(yīng)的邏輯電路圖,D觸發(fā)器電路圖(如圖5所示)如下:
圖5 D觸發(fā)器邏輯電路圖
3.5 配置下載測(cè)試
整個(gè)電路設(shè)計(jì)、編譯仿真無(wú)誤后,按照FPGA開發(fā)板說(shuō)明書進(jìn)行引腳鎖定,重新進(jìn)行編譯后,然后通過下載電纜線,將產(chǎn)生的sof文件下載至FPGA中,對(duì)電路進(jìn)行測(cè)試、驗(yàn)證,完成電路的最終設(shè)計(jì)。
4.結(jié)束語(yǔ)
本文以QuartusⅡ開發(fā)環(huán)境下的實(shí)際電路設(shè)計(jì)為例,介紹了基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法。通過設(shè)計(jì)過程可知,DEA技術(shù)在現(xiàn)代電子電路設(shè)計(jì)中的重要性。在電子技術(shù)飛速發(fā)展的信息時(shí)代,EDA技術(shù)也在不斷發(fā)展。電子產(chǎn)品設(shè)計(jì)者有必要熟練掌握硬件描述語(yǔ)言、可編程邏輯器件以及各種主流軟件開發(fā)環(huán)境,這樣才可以在最短的時(shí)間內(nèi)完成高質(zhì)量的電子產(chǎn)品設(shè)計(jì)任務(wù)。
參考文獻(xiàn)
[1]閻石.數(shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社(第五版),2006.
[2]劉江海.EDA技術(shù)[M].武漢:華中科技大學(xué)出版社,2009.
篇6
【關(guān)鍵詞】集成電路 理論教學(xué) 改革探索
【基金項(xiàng)目】湖南省自然科學(xué)基金項(xiàng)目(14JJ6040);湖南工程學(xué)院博士啟動(dòng)基金。
【中圖分類號(hào)】G642.3 【文獻(xiàn)標(biāo)識(shí)碼】A 【文章編號(hào)】2095-3089(2015)08-0255-01
隨著科學(xué)技術(shù)的不斷進(jìn)步,電子產(chǎn)品向著智能化、小型化和低功耗發(fā)展。集成電路技術(shù)的不斷進(jìn)步,推動(dòng)著計(jì)算機(jī)等電子產(chǎn)品的不斷更新?lián)Q代,同時(shí)也推動(dòng)著整個(gè)信息產(chǎn)業(yè)的發(fā)展[1]。因此,對(duì)集成電路相關(guān)人才的需求也日益增加。目前國(guó)內(nèi)不僅僅985、211等重點(diǎn)院校開設(shè)了集成電路相關(guān)課程,一些普通本科院校也開設(shè)了相關(guān)課程。課程的教學(xué)內(nèi)容由單純的器件物理轉(zhuǎn)變?yōu)榘M集成電路、數(shù)字集成電路、集成電路工藝、集成電路封裝與測(cè)試等[2]。隨著本科畢業(yè)生就業(yè)壓力的不斷增加,培養(yǎng)應(yīng)用型、創(chuàng)新型以及可發(fā)展型的本科人才顯得日益重要。然而,從目前我國(guó)各普通院校對(duì)集成電路的課程設(shè)置來(lái)看,存在著重傳統(tǒng)輕前沿、不因校施教、不因材施教等問題,進(jìn)而導(dǎo)致學(xué)生對(duì)集成電路敬而遠(yuǎn)之,退避三舍,學(xué)習(xí)積極性不高,繼而導(dǎo)致學(xué)生的可發(fā)展性不好,不能適應(yīng)企業(yè)的要求。
本文結(jié)合湖南工程學(xué)院電氣信息學(xué)院電子科學(xué)與技術(shù)專業(yè)的實(shí)際,詳細(xì)闡述了本校當(dāng)前“集成電路原理與應(yīng)用”課程理論教學(xué)中存在的問題,介紹了該課程的教學(xué)改革措施,旨在提高本校及各兄弟院校電子科學(xué)與技術(shù)專業(yè)學(xué)生的專業(yè)興趣,培養(yǎng)學(xué)生的創(chuàng)新意識(shí)。
1.“集成電路原理與應(yīng)用”課程理論教學(xué)存在的主要問題
1.1理論性強(qiáng),課時(shí)較少
對(duì)于集成電路來(lái)說(shuō),在講解之前,學(xué)生應(yīng)該已經(jīng)學(xué)習(xí)了以下課程,如:“固體物理”、“半導(dǎo)體物理”、“晶體管原理”等。但是,由于這些課程的理論性較強(qiáng),公式較多,要求學(xué)生的數(shù)學(xué)功底要好。這對(duì)于數(shù)學(xué)不是很好的學(xué)生來(lái)說(shuō),就直接導(dǎo)致了其學(xué)習(xí)興趣降低。由于目前嵌入式就業(yè)前景比較好,在我們學(xué)校,電子科學(xué)與技術(shù)專業(yè)的學(xué)生更喜歡嵌入式方面的相關(guān)課程。而集成電路相關(guān)企業(yè)更喜歡研究生或者實(shí)驗(yàn)條件更好的985、211高校的畢業(yè)生,使得我校集成電路方向的本科畢業(yè)生找到相關(guān)的較好工作比較困難。因此,目前我校電子科學(xué)與技術(shù)專業(yè)的發(fā)展方向定位為嵌入式,這就導(dǎo)致一些跟集成電路相關(guān)的課程,如“微電子工藝”、“晶體管原理”、“半導(dǎo)體物理”等課程都取消掉了,而僅僅保留了“模擬電子技術(shù)”和“數(shù)字電子技術(shù)”這兩門基礎(chǔ)課程。這對(duì)于集成電路課程的講授更增加了難度。“集成電路原理與應(yīng)用”課程只有56課時(shí),理論課46課時(shí),實(shí)驗(yàn)課10課時(shí)。只講授教材上的內(nèi)容,沒有基礎(chǔ)知識(shí)的積累,就像空中架房,沒有根基。在教材的基礎(chǔ)上額外再講授基礎(chǔ)知識(shí)的話,課時(shí)又遠(yuǎn)遠(yuǎn)不夠。這就導(dǎo)致老師講不透,學(xué)生聽不懂,效果很不好。
1.2重傳統(tǒng)知識(shí),輕科技前沿
利用經(jīng)典案例來(lái)進(jìn)行課程教學(xué)是夯實(shí)集成電路基礎(chǔ)的有效手段。但是對(duì)于集成電路來(lái)說(shuō),由于其更新?lián)Q代的速度非常快,故在進(jìn)行教學(xué)時(shí),除了采用經(jīng)典案例來(lái)夯實(shí)基礎(chǔ)外,還需緊扣產(chǎn)業(yè)的發(fā)展前沿。只有這樣才能保證人才培養(yǎng)不過時(shí),學(xué)校培養(yǎng)的學(xué)生與社會(huì)需求不脫節(jié)。但目前在授課內(nèi)容上還只是注重傳統(tǒng)知識(shí)的講授,對(duì)于集成電路的發(fā)展動(dòng)態(tài)和科技前沿則很少涉及。
1.3不因校施教,因材施教
教材作為教師教和學(xué)生學(xué)的主要憑借,是教師搞好教書育人的具體依據(jù),是學(xué)生獲得知識(shí)的重要工具。然而,我校目前“集成電路原理與應(yīng)用”課程采用的教材還沒有選定。如:2012年采用葉以正、來(lái)逢昌編寫,清華大學(xué)出版社出版的《集成電路設(shè)計(jì)》;2013年采用畢查德?拉扎維編寫,西安交通大學(xué)出版社出版的《模擬CMOS集成電路設(shè)計(jì)》;2014年采用余寧梅、楊媛、潘銀松編著,科學(xué)出版社出版的《半導(dǎo)體集成電路》。教材一直不固定的原因是還沒有找到適合我校電子科學(xué)與技術(shù)專業(yè)學(xué)生實(shí)際情況的教材,這就導(dǎo)致教師不能因校施教、因材施教。
2.“集成電路原理與應(yīng)用”課程理論教學(xué)改革
2.1選優(yōu)選新課程內(nèi)容,夯實(shí)基礎(chǔ)
由于我校電子科學(xué)與技術(shù)專業(yè)的學(xué)生,沒有開設(shè)“半導(dǎo)體物理”、“晶體管原理”、“微電子工藝”等相關(guān)基礎(chǔ)課程,因此理想的、適用于我校學(xué)生實(shí)際的教材應(yīng)該包括半導(dǎo)體器件原理、模擬集成電路設(shè)計(jì)、雙極型數(shù)字集成電路設(shè)計(jì)、CMOS數(shù)字集成電路設(shè)計(jì)、集成電路的設(shè)計(jì)方法、集成電路的制作工藝、集成電路的版圖設(shè)計(jì)等內(nèi)容,如表1所示。因此,在教學(xué)實(shí)踐中,本著“基礎(chǔ)、夠用”的原則,采取選優(yōu)選新的思路,盡量選擇適合我校專業(yè)實(shí)際的教材。目前,使用筆者編寫的適合于我校學(xué)生實(shí)際的理論教學(xué)講義,理順了理論教學(xué),實(shí)現(xiàn)了因校施教,因材施教。
表1 “集成電路原理與應(yīng)用”課程教學(xué)內(nèi)容
2.2提取科技前沿作為教學(xué)內(nèi)容,激發(fā)專業(yè)興趣
為了提高學(xué)生的專業(yè)興趣,讓他們了解“集成電路原理與應(yīng)用”課程的價(jià)值所在,在授課的過程中穿插介紹集成電路設(shè)計(jì)的前沿動(dòng)態(tài)。如:從IEEE國(guó)際固體電路會(huì)議的論文集中提取模塊、電路、仿真、工藝等最新的內(nèi)容,并將這些內(nèi)容按照門類進(jìn)行分類和總結(jié),穿插至傳統(tǒng)的理論知識(shí)講授中,讓學(xué)生及時(shí)了解當(dāng)前集成電路設(shè)計(jì)的核心問題。這樣不但可以激發(fā)學(xué)生的好奇心和學(xué)習(xí)興趣,還可以提高學(xué)生的創(chuàng)新能力。
2.3開展雙語(yǔ)教學(xué)互動(dòng),提高綜合能力
目前,我國(guó)的集成電路產(chǎn)業(yè)相對(duì)于國(guó)外來(lái)說(shuō),還存在著相當(dāng)?shù)牟罹唷R_展雙語(yǔ)教學(xué)的原因有三:一是集成電路課程的一些基本專業(yè)術(shù)語(yǔ)都是由英文翻譯過來(lái)的;二是集成電路的研究前沿都是以英文發(fā)表在期刊上的;三是世界上主流的EDA軟件供應(yīng)商都集中在歐美國(guó)家,軟件的操作語(yǔ)言與使用說(shuō)明書都是英文的。因此,集成電路課程對(duì)學(xué)生的英語(yǔ)能力要求很高,在課堂上適當(dāng)開展雙語(yǔ)教學(xué)互動(dòng),無(wú)論是對(duì)于學(xué)生繼續(xù)深造,還是就業(yè)都是非常必要的。
3.結(jié)語(yǔ)
集成電路自二十世紀(jì)五十年代被提出以來(lái),經(jīng)歷了小規(guī)模、中規(guī)模、大規(guī)模、超大規(guī)模、甚大規(guī)模,目前已經(jīng)進(jìn)入到了片上系統(tǒng)階段。雖然集成電路的發(fā)展日新月異,但目前集成電路相關(guān)人才的學(xué)校培養(yǎng)與社會(huì)需求存在很大的差距。因此,對(duì)集成電路相關(guān)課程的教學(xué)改革刻不容緩。基于此,本文從“集成電路原理與應(yīng)用”課程理論教學(xué)出發(fā),詳細(xì)闡述了“集成電路原理與應(yīng)用”課程教學(xué)所存在的主要問題,并有針對(duì)性的提出了該課程教學(xué)內(nèi)容和教學(xué)方法的改革措施,這對(duì)培養(yǎng)應(yīng)用型、創(chuàng)新型的集成電路相關(guān)專業(yè)的本科畢業(yè)生具有積極的指導(dǎo)意義。
參考文獻(xiàn):
篇7
關(guān)鍵詞 電子科學(xué)與技術(shù)專業(yè);實(shí)習(xí)基地;定向培養(yǎng)
中圖分類號(hào):G642.0 文獻(xiàn)標(biāo)識(shí)碼:B
文章編號(hào):1671-489X(2014)02-0102-02
Exploration of School Enterprise Cooperation Mode of Electronic Science and Technology Specialty//Shi Jianxing, Xu Yanbin
Abstract Starting from the characteristics of Electronic Science and technology specialty, the training mode of school enterprise cooperation as a breakthrough point, to improve the students’ practical ability and training directly working talents as the goal, two aspects were summarized from the practice base construction and targeted training, explore the new road of school enterprise cooperation.
Key words electronic science and technology specialty; practice base; targeted training
2000年6月,國(guó)務(wù)院印發(fā)《鼓勵(lì)軟件產(chǎn)業(yè)和集成電路產(chǎn)業(yè)發(fā)展的若干政策》(國(guó)發(fā)2000〔18號(hào)〕),明確提出軟件產(chǎn)業(yè)和集成電路產(chǎn)業(yè)是國(guó)家戰(zhàn)略性新興產(chǎn)業(yè),是國(guó)民經(jīng)濟(jì)和社會(huì)信息化的重要基礎(chǔ)[1]。大力發(fā)展我國(guó)集成電路產(chǎn)業(yè)和軟件產(chǎn)業(yè),是克服我國(guó)集成電路人才短缺,抓緊培養(yǎng)集成電路專業(yè)人才方面的重大舉措。隨著集成電路產(chǎn)業(yè)的飛速發(fā)展,國(guó)家和企業(yè)對(duì)集成電路各類人才的需求越來(lái)越多,對(duì)人才的要求也越來(lái)越高,這些都對(duì)電子科學(xué)與技術(shù)專業(yè)的本科教學(xué)提出了新的挑戰(zhàn)。高等學(xué)校在人才培養(yǎng)的模式上必須進(jìn)行有效的改革,校企合作體制的實(shí)施和更深層次的建設(shè)是高校人才培養(yǎng)模式改革的重要方面之一。通過校企合作體制的開展和教學(xué)質(zhì)量的不斷提高,使畢業(yè)生在準(zhǔn)備就業(yè)的時(shí)候不僅具有深厚的理論功底,而且能夠?qū)W習(xí)和掌握相關(guān)的設(shè)計(jì)軟件,具有相關(guān)工作經(jīng)驗(yàn)和解決實(shí)際問題的能力,了解行業(yè)背景和企業(yè)需求,為培養(yǎng)直接上崗型人才打下了良好的基礎(chǔ)。
1 學(xué)校目前存在的問題
電子科學(xué)與技術(shù)專業(yè)是為國(guó)家和社會(huì)培養(yǎng)集成電路產(chǎn)業(yè)人才的重要專業(yè)分類。河北大學(xué)電子科學(xué)與技術(shù)專業(yè)的學(xué)生主要學(xué)習(xí)集成電路工藝和集成電路設(shè)計(jì)兩大類課程,其中集成電路設(shè)計(jì)又包括電路設(shè)計(jì)和版圖設(shè)計(jì)。通過兩年的專業(yè)基礎(chǔ)課和專業(yè)課的講授,學(xué)生可以了解和掌握集成電路制造過程中的各種工藝加工工序(如硅片的清洗、氧化、光刻和擴(kuò)散等)、集成電路中常用的設(shè)計(jì)方法(如全定制、半定制、CPLD和FPGA等)和集成電路基本單元的版圖結(jié)構(gòu)(如電阻、電容、BJT管和MOS管等)。雖然在理論授課的基礎(chǔ)上也開設(shè)了相應(yīng)的實(shí)驗(yàn)課程,但是實(shí)驗(yàn)軟件落后,以及與社會(huì)生產(chǎn)實(shí)際相脫節(jié)的狀態(tài)十分嚴(yán)重。這里以集成電路版圖實(shí)驗(yàn)為例來(lái)加以說(shuō)明。
在集成電路版圖實(shí)驗(yàn)教學(xué)過程中,由于經(jīng)費(fèi)的限制,只能通過免費(fèi)或者低級(jí)的版圖繪制軟件來(lái)完成實(shí)驗(yàn)教學(xué)工作。由于使用軟件功能上的落后,沒有辦法讓學(xué)生更好地了解如何對(duì)版圖進(jìn)行設(shè)計(jì)規(guī)則檢查和電學(xué)規(guī)則檢查,不能清楚地知道設(shè)計(jì)規(guī)程檢查文件,不明白版圖后仿真和電路圖與版圖的比較過程中需要注意哪些事項(xiàng),不知道實(shí)際生產(chǎn)中相關(guān)元件的版圖繪制方法,只能簡(jiǎn)單地繪制出某個(gè)元器件的版圖,造成學(xué)生只是學(xué)習(xí)到了版圖設(shè)計(jì)中的一點(diǎn)兒皮毛,相關(guān)知識(shí)匱乏,不能很好地滿足企業(yè)的需求。
2 校企合作方案探索
實(shí)習(xí)基地的建立 2003年7月,教育部下發(fā)《教育部、科技部關(guān)于批準(zhǔn)有關(guān)高等學(xué)校建設(shè)國(guó)家集成電路人才培養(yǎng)基地的通知》,通知中要求高校要大力推進(jìn)“國(guó)家集成電路人才培養(yǎng)基地”的教學(xué)改革[1]。為了培養(yǎng)應(yīng)用型的集成電路設(shè)計(jì)人才,了解企業(yè)需求,河北大學(xué)跟北京芯愿景軟件有限公司保定分公司簽訂了校企合作協(xié)議。這既能讓學(xué)生接觸到先進(jìn)的設(shè)計(jì)軟件,增長(zhǎng)自身技能,又能為企業(yè)培養(yǎng)所需的人才。
在簽訂了校企合作協(xié)議之后,雙方又制定了詳細(xì)的實(shí)習(xí)基地實(shí)施方案,主要從以下幾個(gè)方面入手。
首先,暑期畢業(yè)實(shí)習(xí)。學(xué)校的畢業(yè)生需要在大三之后大四之前的暑期進(jìn)入實(shí)習(xí)單位完成畢業(yè)實(shí)習(xí)的工作。實(shí)習(xí)基地建立之后,企業(yè)可以接納電子科學(xué)與技術(shù)專業(yè)的學(xué)生進(jìn)入單位實(shí)習(xí)并對(duì)學(xué)生提供培訓(xùn)。學(xué)生要嚴(yán)格按照企業(yè)的上下班制度等要求自己。在為期一個(gè)月的實(shí)習(xí)過程中,學(xué)生開闊了眼界,增長(zhǎng)了見識(shí),掌握了實(shí)際生產(chǎn)中相關(guān)元件的版圖實(shí)現(xiàn)方法,明白了集成電路產(chǎn)業(yè)中各個(gè)環(huán)節(jié)的作用和實(shí)現(xiàn)方法,為就業(yè)奠定了良好的基礎(chǔ)。
其次,雙向選擇,深入了解。在暑假畢業(yè)實(shí)習(xí)完成之后,企業(yè)對(duì)實(shí)習(xí)的學(xué)生進(jìn)行了綜合評(píng)定,學(xué)生也對(duì)企業(yè)和集成電路產(chǎn)業(yè)有了進(jìn)一步的認(rèn)識(shí)。通過雙向選擇的方式,學(xué)生可以在大四下學(xué)期畢業(yè)設(shè)計(jì)階段進(jìn)入實(shí)習(xí)基地進(jìn)行更深層次的學(xué)習(xí)。畢業(yè)設(shè)計(jì)實(shí)行雙導(dǎo)師制,由學(xué)校的指導(dǎo)教師和企業(yè)的指導(dǎo)教師共同指導(dǎo)學(xué)生完成畢業(yè)設(shè)計(jì)和畢業(yè)論文,保障學(xué)生能夠順利畢業(yè)。這既能增加學(xué)生的工作經(jīng)驗(yàn),又能為企業(yè)本身培養(yǎng)所需的人才。
最后,除本科生的實(shí)習(xí)以外,還對(duì)集成電路工程的碩士生制定了實(shí)習(xí)計(jì)劃,并聘請(qǐng)了北京芯愿景軟件有限公司的兩名高級(jí)工程師擔(dān)任學(xué)校的兼職碩士生導(dǎo)師,對(duì)集成電路工程專業(yè)的碩士生進(jìn)行聯(lián)合培養(yǎng)。企業(yè)根據(jù)不同層次的學(xué)生提供不同的培訓(xùn)方案,以滿足各自的需要。
定向培養(yǎng)方案 校企合作的目的不僅僅是為了提高學(xué)生的能力,為就業(yè)打好基礎(chǔ),也是為了為合作企業(yè)培養(yǎng)合格的人才,實(shí)現(xiàn)雙贏。因此,在專業(yè)課程教學(xué)過程中,根據(jù)校企合作協(xié)議以及市場(chǎng)對(duì)人才培養(yǎng)的需要,高校應(yīng)該適時(shí)地調(diào)整教學(xué)方案。結(jié)合學(xué)校的實(shí)際情況,在本科教學(xué)過程中,從專業(yè)課開始到專業(yè)選修課,都融入了實(shí)際生產(chǎn)中會(huì)用到的相關(guān)內(nèi)容。
如在數(shù)字集成電路原理與設(shè)計(jì)以及模擬集成電路原理與設(shè)計(jì)兩個(gè)專業(yè)課的講授過程中,凡是涉及集成電路設(shè)計(jì)方法和版圖設(shè)計(jì)部分的內(nèi)容時(shí),都融入了芯愿景有限公司的相關(guān)書籍或資料作為補(bǔ)充內(nèi)容,讓學(xué)生更加直觀地了解企業(yè)在進(jìn)行集成電路設(shè)計(jì)時(shí)是如何進(jìn)行綜合考慮的。在數(shù)字集成電路綜合實(shí)驗(yàn)和集成電路CAD課程設(shè)計(jì)這兩門實(shí)驗(yàn)課中,采用芯愿景公司的軟件和素材進(jìn)行案例教學(xué),讓學(xué)生直觀地感受到芯片制作過程中模塊安排、虛擬結(jié)構(gòu)單元、數(shù)字單元、模擬單元、有源器件、無(wú)源器件以及布局布線的相關(guān)知識(shí),加深對(duì)集成電路芯片設(shè)計(jì)的認(rèn)識(shí)。在集成電路版圖設(shè)計(jì)和集成電路版圖設(shè)計(jì)實(shí)驗(yàn)兩門課程的開始過程中,從企業(yè)聘請(qǐng)了經(jīng)驗(yàn)豐富的工程師進(jìn)入課堂幫助任課教師進(jìn)行理論教學(xué)和實(shí)驗(yàn)教學(xué)。
以上一系列的培養(yǎng)方案,使學(xué)生對(duì)集成電路設(shè)計(jì)流程有了更清楚的認(rèn)識(shí),讓學(xué)生了解到了企業(yè)對(duì)畢業(yè)生的需求,為合作企業(yè)培養(yǎng)了所需的人才,使企業(yè)減少了招聘風(fēng)險(xiǎn),降低了成本。
3 結(jié)束語(yǔ)
校企合作的實(shí)踐教學(xué)模式,帶給學(xué)生的不僅是對(duì)書本知識(shí)的深化和技能技巧的訓(xùn)練,更是一次記憶深刻的體驗(yàn),是一次寫在記憶中的成長(zhǎng)經(jīng)歷[2]。校企合作協(xié)議簽訂半年多來(lái),經(jīng)過2009級(jí)電子科學(xué)與技術(shù)專業(yè)學(xué)生在畢業(yè)設(shè)計(jì)環(huán)節(jié)中的檢驗(yàn),學(xué)生深刻地感受到在理論知識(shí)與實(shí)際應(yīng)用相結(jié)合的過程中自己還存在哪些方面的欠缺,校園里所學(xué)習(xí)的理論知識(shí)在實(shí)際工作中發(fā)揮了哪些作用。實(shí)習(xí)經(jīng)歷雖然短暫,但是學(xué)生收獲頗豐,最終都找到了理想的工作。
筆者深信,隨著校企合作的進(jìn)一步開展和合作的進(jìn)一步深入,致力于把合作真真正正地落到實(shí)處,帶給學(xué)生的將是更加豐富的工作經(jīng)驗(yàn)和待遇優(yōu)越的就業(yè)崗位,帶給企業(yè)的將是源源不斷的就業(yè)生力軍和企業(yè)品牌的進(jìn)一步推廣。
參考文獻(xiàn)
篇8
關(guān)鍵詞:CMOS;溫度保護(hù);PTAT電流;熱滯回
中圖分類號(hào):TN43
0 引 言
隨著集成電路技術(shù)的廣泛應(yīng)用及集成度的不斷增加,超大規(guī)模集成電路(VLSI)的功耗、芯片內(nèi)部的溫度不斷提高,溫度保護(hù)電路已經(jīng)成為了眾多芯片設(shè)計(jì)中必不可少的一部分。本文在CSMC 0.5 μm CMOS工藝下,設(shè)計(jì)一種適用于音頻功放的高精度帶熱滯回功能溫度保護(hù)電路。
1 電路結(jié)構(gòu)設(shè)計(jì)
整個(gè)電路結(jié)構(gòu)可分為啟動(dòng)電路、PTAT電流產(chǎn)生電路、溫度比較及其輸出電路。下面詳細(xì)介紹各部分電路的設(shè)計(jì)以及實(shí)現(xiàn)。文中所設(shè)計(jì)的溫度保護(hù)整體電路圖如圖1所示。
[BT3]1.1 啟動(dòng)電路
在與電源無(wú)關(guān)的偏置電路中有一個(gè)很重要的問題,那就是“簡(jiǎn)并”偏置點(diǎn)的存在,每條支路的電流可能為零,即電路不能進(jìn)入正常工作狀態(tài),故必須添加啟動(dòng)電路,以便電源上電時(shí)擺脫簡(jiǎn)并偏置點(diǎn)。上電瞬間,電容C上無(wú)電荷,M7柵極呈現(xiàn)低電壓,M7~M9導(dǎo)通,PD(低功耗引腳)為低電平,M3將M6柵壓拉高,由于設(shè)計(jì)中M2寬長(zhǎng)比較小,而此時(shí)又不導(dǎo)通,Q1~Q4支路導(dǎo)通,電路脫離“簡(jiǎn)并點(diǎn)”;隨著M6柵電位的繼續(xù)升高,M2導(dǎo)通,M3源電位急劇降低,某時(shí)刻M3被關(guān)斷,啟動(dòng)電路與偏置電路實(shí)現(xiàn)隔離,電容C兩端電壓恒定,為M7提供合適的柵壓,偏置電路正常工作。然而,當(dāng)PD為高電平時(shí),M4導(dǎo)通,將M6,M10的柵電位拉低,使得整個(gè)電路處于低功耗狀態(tài)。
在這一部分,M11,M12,M14,M15組成低壓共源共柵電流鏡,并且有相同的寬長(zhǎng)比,使兩條支路電流相等。該結(jié)構(gòu)與一般的共源共柵結(jié)構(gòu)相比,可以提高等效溝道長(zhǎng)度,從而增大輸出電阻,提高電路的PSRR性能;并且這種兩管組合結(jié)構(gòu)可消耗較低的電壓壓降,從而增大輸出電壓擺幅,改善芯片低壓工作特性。
與此同時(shí),M7~M10這條支路為偏置電路提供了負(fù)反饋,以減小電源電壓對(duì)偏置電流的影響,使得電路在平衡狀態(tài)時(shí)保證X,Y兩點(diǎn)電壓相等。然而,反饋的引入也為偏置電路引入了不穩(wěn)定的因素,這里M13和M7構(gòu)成了一個(gè)兩級(jí)閉環(huán)運(yùn)放,為保證偏置電路的穩(wěn)定,必須進(jìn)行補(bǔ)償。通過電容C將主極點(diǎn)設(shè)置在第一級(jí)運(yùn)放M13的輸出端,從而保證了電路的穩(wěn)定性[7]。若Q3發(fā)射區(qū)的面積是Q4發(fā)射區(qū)面積的n倍,流過的電流大小均為I,則:
由式(9)可知,流經(jīng)R1У牡緦饔氳繚次薰,只與絕對(duì)溫度成正比,即得到PTAT電流。
[BT3]1.3 溫度比較及輸出電路[8]
由于晶體管的BE結(jié)正向?qū)妷壕哂胸?fù)溫度系數(shù);PTAT電流進(jìn)行I[CD*2]V變換產(chǎn)生電壓具有正溫度特性;利用這兩路電壓不同的溫度特性來(lái)實(shí)現(xiàn)溫度檢測(cè),產(chǎn)生過溫保護(hù)信號(hào)的輸出[9]。
M26~M30,M33,M34構(gòu)成一個(gè)兩級(jí)開環(huán)比較器,反相器的接入是為了滿足高轉(zhuǎn)換速率的要求。M31,M32是低功耗管,M23~M25的作用是構(gòu)成一個(gè)正反饋回路,以防止在臨界狀態(tài)發(fā)生不穩(wěn)定性,同時(shí)又為電路產(chǎn)生了滯回區(qū)間。
比較器的兩個(gè)輸入端電壓分別記為VQ和VR;M17~M22用來(lái)鏡像基準(zhǔn)源電路產(chǎn)生的PTAT電流,這里它們與M14有著相同的寬長(zhǎng)比。因此流經(jīng)這三條支路的電流都為IPTAT。在常溫下,M25截止,R2完成對(duì)PTAT電流的I[CD*2]V變換,即VR=2IPTATR2,此時(shí)VR
2 仿真結(jié)果及分析
以下是對(duì)各部分電路進(jìn)行仿真的結(jié)果,仿真工具是Candence Spectre,模型采用華潤(rùn)上華公司的0.5 μm的n阱CMOS工藝[10]。
圖2是PTAT電流隨溫度變化曲線。仿真結(jié)果表明,該曲線線性度較好,符合PTAT電流特性。常溫下,在電源為5 V的情況下,功耗僅為0.4mW。可見,其功耗非常低。
[JP2]圖4是溫度分別從0~150 ℃和150~0 ℃掃描時(shí)比較器輸出狀態(tài)的變化。由圖可見,當(dāng)溫度由低到高上升至84.1 ℃時(shí),電路輸出狀態(tài)由低電平翻轉(zhuǎn)成高電平,實(shí)現(xiàn)了芯片的過溫保護(hù);只有當(dāng)溫度回落到72 ℃時(shí),電路才恢復(fù)原狀態(tài),實(shí)現(xiàn)了約12 ℃的滯回溫度。改
3 結(jié) 語(yǔ)
為保證芯片在工作時(shí)不因溫度過高而被損壞,溫度保護(hù)電路是必須的。這里所設(shè)計(jì)的溫度保護(hù)電路對(duì)溫[LL]度靈敏性高,功耗低, 其熱滯回功能能有效防止熱振蕩現(xiàn)象的發(fā)生,相比一般單獨(dú)使用晶體管BE結(jié)的溫度保護(hù)電路具有更高的靈敏度和精度,可廣泛用于各種功率芯片內(nèi)部。
參 考 文 獻(xiàn)
[1]\[美\]畢查德•拉扎維.模擬CMOS集成電路設(shè)計(jì)[M].陳貴燦,譯.西安:西安交通大學(xué)出版社,2003:309[CD*2]327.
[2]Serra[CD*2]Graells F,Huertas J L.Sub[CD*2]1 V CMOS Proportional[CD*2]to[CD*2]Absolute Temperature Reference [J].IEEE Journal on Solid[CD*2]state Circuit,2003,38 (1):84[CD*2]88.
[3]文武,文治平,張永學(xué).一種高精度自偏置共源共柵的CMOS 帶隙基準(zhǔn)源[J].微電子學(xué)與計(jì)算機(jī),2008,25(8):711[CD*2]714.
[4]Banba H.A CMOS Bandgap Reference Circuit with Sub[CD*2]1 V Operation\[J\].IEEE Journal on Solid[CD*2]state Circuit,1999,34:670[CD*2]674.
[5]張孝坤,王繼安.一種可用于模塊化設(shè)計(jì)的熱關(guān)斷電路[J].微電子學(xué)與計(jì)算機(jī),2007,24(6):130[CD*2]136.
[6]潘飛蹊,俞鐵剛,郭超,等.一種高精度帶隙基準(zhǔn)源和過溫保護(hù)電路[J].微電子學(xué),2005,35(2):192[CD*2]195.
[7]Phillip E Allen,Douglas R Holberg.CMOS模擬集成電路設(shè)計(jì)[M].北京:電子工業(yè)出版社,2005.
[8]石偉韜,蔣國(guó)平.一種高穩(wěn)定低功耗CMOS 過熱保護(hù)電路的設(shè)計(jì)[J].電子器件,2006,29(2):330[CD*2]334.
篇9
關(guān)鍵詞:上電復(fù)位;帶隙基準(zhǔn);溫度系數(shù);運(yùn)算跨導(dǎo)放大器;激光調(diào)整
中圖分類號(hào):TP368.1文獻(xiàn)標(biāo)識(shí)碼:B
文章編號(hào):1004 373X(2009)02 012 04
Design of Power-on Reset Chip with High Reliability
WANG Hanxiang,LI Fuhua,XIE Weiguo
(Electronics and Information Engineering,Soochow University,Suzhou,215021,China)
Abstract:Based on problem of the conditional Power-on Reset(PoR) is easy to fail when powering on again,a comparator structure is proposed,which is implemented by bandgap reference,resistance network and logic block.Reset timeout delay block is added to make it much more reliable.The function simulation by Hspice using 0.6 μmCdouble poly-N well CMOS process shows that when the circuit working under the supply voltage of 3.3 V,the threshold of supply voltage is 3.08 V and the reset timeout delay is set to 100 ms.The results demonstrate that the design can supply a stable and reliable PoR signal and be used to monitor power supplies in computers,microprocessors and portable equipment.
Keywords:power-on reset;bandgap;temperature coefficient;OTA;laser trimming
0 引 言
現(xiàn)代科技領(lǐng)域?qū)﹄娮赢a(chǎn)品性能的要求越來(lái)越高,微處理器系統(tǒng)的穩(wěn)定性和抗干擾能力是電子工程師面臨的一大難題,電源監(jiān)控技術(shù)就是解決這一難題的有效手段之一。上電時(shí)上電復(fù)位(Pow-on Reset,PoR)電路對(duì)數(shù)字電路中移位寄存器、D觸發(fā)器和計(jì)數(shù)器、模擬電路中的振蕩器、比較器等單元電路進(jìn)行復(fù)位,保證電路在上電過程能正確啟動(dòng)[1,2]。上電復(fù)位信號(hào)在電源電壓上升過程中一直保持低電平(有效復(fù)位電平),直到電源電壓穩(wěn)定達(dá)到系統(tǒng)規(guī)定的正常工作電壓后轉(zhuǎn)變?yōu)楦唠娖健?/p>
傳統(tǒng)上電復(fù)位電路是利用電容上的電壓不能突變,通過RC充電來(lái)實(shí)現(xiàn)。盡管 “充電箝位”電路可以改善上電沒有器件限制電容C充電的問題,但這種結(jié)構(gòu)在二次上電時(shí)仍有可能出現(xiàn)失效[3]。在此基于比較器型復(fù)位電路[3],設(shè)計(jì)了高精度的帶隙基準(zhǔn)、比較器、用于門限設(shè)置及檢測(cè)的內(nèi)部電阻網(wǎng)絡(luò)和復(fù)位延時(shí)電路,有效解決二次上電失效,具有高可靠性。
1 電路設(shè)計(jì)與分析
1.1 上電復(fù)位電路的結(jié)構(gòu)和原理
為了解決傳統(tǒng)上電復(fù)位電路的二次上電可能出現(xiàn)錯(cuò)誤的問題,這里基于比較器結(jié)構(gòu)設(shè)計(jì)了精準(zhǔn)的帶隙基準(zhǔn)作為比較基準(zhǔn),其中電阻網(wǎng)絡(luò)用于設(shè)置和檢測(cè)電壓,采用延時(shí)電路減小電壓紋波的影響,提高了復(fù)位信號(hào)的可靠性,結(jié)構(gòu)如圖1所示。在上電過程中,reset一直保持低電平,當(dāng)電源電壓達(dá)到預(yù)設(shè)的閾值電壓后,采樣電壓高于基準(zhǔn)電壓Vref,比較器輸出狀態(tài)改變,邏輯電路控制時(shí)鐘電路產(chǎn)生延時(shí),100 ms后reset變?yōu)楦唠娖剑瓿蓮?fù)位。
圖1 POR的系統(tǒng)框圖
1.2 偏置電路
精確的偏置電流是整個(gè)電路準(zhǔn)確運(yùn)行的基礎(chǔ),因此設(shè)計(jì)一種與電源電壓無(wú)關(guān)的偏置電流I [4],如圖2所示,其中:
ИW1L1=KW2L2,W4L4=W3L3
VGS2-VGS1=IR1
I=12?μCOXW1L1(VGS1-Vth1)2
I=12?μCOXW2L2(VGS2-Vth2)2И
忽略體效應(yīng),聯(lián)解上式得:
ИI=2μCOXW2/L2?1R21(1-1K)2И
由上式可知偏置電流與電源電壓無(wú)關(guān),但電阻具有溫度系數(shù),為了減小偏置電路的溫度系數(shù),電阻由正負(fù)溫度系數(shù)的電阻按比例串聯(lián)組成。poly2電阻為負(fù)溫度系數(shù),而N阱電阻為正溫度系數(shù),兩者結(jié)合可以實(shí)現(xiàn)零溫度系數(shù)。
圖2 偏置電路
圖2中M5~M7組成啟動(dòng)電路,克服自偏置電路的零偏置點(diǎn)。NB,PB為偏置電流的鏡像電流,為帶隙基準(zhǔn)、比較器電路和時(shí)鐘電路提供偏置。
1.3 帶隙基準(zhǔn)電路
作為比較器的比較基準(zhǔn),其高穩(wěn)定性是比較結(jié)果準(zhǔn)確性的關(guān)鍵,因此設(shè)計(jì)了一種低溫度系數(shù)與電源電壓無(wú)關(guān)的帶隙基準(zhǔn)[5-9]。帶隙基準(zhǔn)由電源電壓產(chǎn)生穩(wěn)定精確的Vref,能克服電源電壓的波動(dòng)、溫度的變化以及工藝誤差等影響,輸出穩(wěn)定的參考電壓。利用Veb和VT的溫度特性來(lái)進(jìn)行溫度補(bǔ)償,實(shí)現(xiàn)零溫度系數(shù)。
圖3為帶隙基準(zhǔn)電路結(jié)構(gòu)圖,A,B點(diǎn)為運(yùn)放的兩個(gè)輸入端,運(yùn)放閉環(huán),A,B兩點(diǎn)等電位。
ИI2=ΔVeb/R1
Vref=Veb2+I2(R1+R2)
ΔVeb=VTln(mn)
Vref=Veb2+VTln(mn)(R1+R2)/R1И
式中,m為R2與R3的比值;n為Q2 與Q1 的比值;Veb為負(fù)溫度系數(shù);VT為正溫度系數(shù)。所以選擇合適的電阻比值和晶體管的面積比值,可以使輸出參考電壓獲得最小的溫度系數(shù),當(dāng)然電阻本身同樣具有溫度系數(shù),但電阻以比值出現(xiàn),可以忽略其影響。M1~M10構(gòu)成運(yùn)算跨導(dǎo)放大器[10],C1為運(yùn)放的相位補(bǔ)償,保證60°的相位裕度。
圖3 帶隙基準(zhǔn)
1.4 比較器電路
比較器電路用于監(jiān)測(cè)電源電壓變化,能比較的電平越低越好,即具有較高的靈敏度。因此采用經(jīng)典的二級(jí)比較器[11],它具有很高的開環(huán)增益,高于60 dB。合理設(shè)置差分輸入管M1,M2和電流鏡負(fù)載M3,M4的尺寸,保證了比較器低的失調(diào)電壓。選擇合適的尾電流大小,能提高壓擺率,優(yōu)化比較器的響應(yīng)速度。其高增益、低失調(diào)、快速度特性保證了比較器準(zhǔn)確對(duì)電源電壓的監(jiān)控。圖4中M1~M5為第一級(jí);M6,M7為第二級(jí);I1,I2為2個(gè)緩沖級(jí)。
圖4 比較器
1.5 時(shí)鐘電路
為了增加復(fù)位信號(hào)的可靠性,這里增加了復(fù)位延時(shí)。其主要由振蕩器和分頻器組成,如圖5所示。M1~M7和C1構(gòu)成振蕩器,EN為使能信號(hào)。EN為低電平時(shí),振蕩器開始工作,M5導(dǎo)通,M3,M4組成的電流源通過M5對(duì)電容C1充電;當(dāng)電容上的電壓上升到施密特觸發(fā)器的V+時(shí),施密特觸發(fā)器反相,M6導(dǎo)通,電容通過M1,M2構(gòu)成的電流沉放電;當(dāng)電容上的電壓下降到施密特觸發(fā)器的V_時(shí),密特觸發(fā)器反相,M5導(dǎo)通,這樣周而復(fù)始,產(chǎn)生時(shí)鐘信號(hào)。
圖5 時(shí)鐘電路
t淶紿=C1(V+-V-)/I淶紿,
t諾紿=C1(V+-V-)/I諾紿,T=t淶紿+t諾紿
分頻器的作用是產(chǎn)生一定的延時(shí)來(lái)觸發(fā)復(fù)位信號(hào),增加復(fù)位信號(hào)的可靠性。其主要由一串D觸發(fā)器構(gòu)成的二分頻電路構(gòu)成,NЪ抖分頻構(gòu)成的延時(shí)為:
Иt┭郵豹=2N2T=2N-1TИ
1.6 采樣電路
采樣電路由電阻網(wǎng)絡(luò)實(shí)現(xiàn),主要用于采集電源的變化。圖1中的R1和R2構(gòu)成采樣電路,VCC_th為電源電壓的門限電壓,則:
ИVCC_det=VCCR2/(R1+R2)И
臨界點(diǎn)為:
ИVCC=VCC_th,VCC_det=VrefИ
因此:
ИR2/R1=Vref/(VCC_th-Vref)И
靜態(tài)電流為:
ИIq=VCCR1+R2И
考慮到靜態(tài)電流,要求采樣電阻阻值較大,一般2個(gè)采樣電阻(即R1,R2)需大于100 kΩ。用較小的等阻值的電阻串聯(lián)來(lái)提高精度,所以在版圖中設(shè)計(jì)一些被短接的預(yù)留電阻,并通過激光調(diào)整的方法或修改頂層金屬連線來(lái)調(diào)節(jié)電阻。電阻的高精度和良好的匹
配性保證了被采集電源信號(hào)的準(zhǔn)確性。
2 電路仿真
利用0.6 μm的CMOS工藝模型和HSpice仿真器,對(duì)設(shè)計(jì)的PoR進(jìn)行仿真和優(yōu)化。以下為仿真的主要結(jié)果。
帶隙基準(zhǔn)的正常啟動(dòng)和精確性對(duì)PoR的準(zhǔn)確工作至關(guān)重要。圖6是對(duì)帶隙基準(zhǔn)啟動(dòng)過程的仿真,圖中可見當(dāng)電源上電過程中,帶隙基準(zhǔn)電路正常啟動(dòng);圖7是Vref隨電源電壓VCC的變化特性,由圖可知,在電源電壓VCC變化范圍內(nèi)(2.0~3.3 V),Vref僅有2.5 mV的變化。
圖6 帶隙基準(zhǔn)的啟動(dòng)
圖7 Vref隨電源電壓VCC的變化特性
圖8是對(duì)上電復(fù)位電路的上電、掉電和二次上電的仿真,圖中可以看出電源緩慢上電, reset一直保持低電平,當(dāng)超過3.08 V后振蕩器開始工作,經(jīng)過8個(gè)振蕩周期reset變?yōu)楦唠娖健?/p>
圖8 POR上電、掉電、二次上電的仿真
電源電壓掉電低于3.08 V,reset變?yōu)榈碗娖剑俅紊仙_(dá)到電源閾值電壓8個(gè)振蕩周期后reset又變?yōu)楦唠娖健7抡娼Y(jié)果表明PoR具有高可靠性。為了減少仿真時(shí)間,本圖仿真采用的是16分頻器,而不是實(shí)際的100 ms延時(shí)。
3 版圖設(shè)計(jì)
作為設(shè)計(jì)與制造的紐帶,版圖的地位至關(guān)重要,模擬集成電路的性能很大程度受版圖因素的影響[12]。以下為版圖設(shè)計(jì)中的一些注意點(diǎn):
(1) 該帶隙基準(zhǔn)PNP管的面積比是8∶1,做成3∶3∶3的結(jié)構(gòu),將面積為1的管子置于中心,保證匹配性;
(2) 該設(shè)計(jì)與電阻密切相關(guān),電阻的失配會(huì)產(chǎn)生誤差,將電阻做成叉指相間的形式,盡量減小電阻的不匹配;
(3) 運(yùn)放的差動(dòng)輸入對(duì)的失配會(huì)產(chǎn)生失配影響電路性能,將差動(dòng)對(duì)做成十字交叉形式,保證其對(duì)稱性;
(4) 偏置電流要相對(duì)對(duì)稱,減小失配引入的誤差;
(5) 參考電壓要遠(yuǎn)離跳變電壓,總體布局時(shí)考慮到應(yīng)力因素,將匹配性要求高的電路盡量置于應(yīng)力較小處。
4 結(jié) 語(yǔ)
設(shè)計(jì)了一種由精確的帶隙基準(zhǔn)比較器,用于門限設(shè)置和檢測(cè)的內(nèi)部電阻網(wǎng)絡(luò)等組成的上電復(fù)位,具有復(fù)位延時(shí),可以準(zhǔn)確可靠提供復(fù)位信號(hào),還具有良好的性能,可廣泛用于處電腦、微控制器以及各種便攜式電子產(chǎn)品中,實(shí)現(xiàn)對(duì)系統(tǒng)電壓、電源電壓和電池的監(jiān)控。
參考文獻(xiàn)
[1]高明倫,張紅,莉徐諾.一種基于比較器的新型片內(nèi)上電復(fù)位電路的實(shí)現(xiàn)[J].中國(guó)集成電路,2004(8):31-35.
[2]李桂宏,謝世健.集成電路設(shè)計(jì)寶典[M] 北京:電子工業(yè)出版社,2006.
[3]魯斌,胡永華,王曉蕾.基于系統(tǒng)監(jiān)控的片內(nèi)上電復(fù)位電路的實(shí)現(xiàn)[J].中國(guó)集成電路,2006,15(2):58-62.
[4][美]畢查德?拉扎維.模擬CMOS集成電路設(shè)計(jì)[M].陳貴燦,譯.西安:西安交通大學(xué)出版社,2003.
[5] 史侃俊,許維勝,余有靈.CMOS帶隙基準(zhǔn)電壓源中的曲率校正方法[J].現(xiàn)代電子技術(shù),2006,29(5):113-116.
[6]劉紅霞.一種低電壓低功耗帶隙基準(zhǔn)電壓源的設(shè)計(jì)[J].現(xiàn)代電子技術(shù),2005,28(24):10-11.
[7]陳碧,羅嵐,周帥林.一種低溫漂CMOS帶隙基準(zhǔn)電壓源的設(shè)計(jì)[J].電子器件,2004,27(1):79-82.
[8]劉韜,徐志偉.一種高電源抑制比CMOS能隙基準(zhǔn)電壓源[J].微電子學(xué),1999,29(2):128-131.
[9]王彥,韓益鋒.一種高精度CMOS帶隙基準(zhǔn)源[J].微電子學(xué),2000,33(3):255-261.
[10]Jacob Baker R.CMOS 電路設(shè)計(jì)?布局與仿真[M].陳中建,譯.北京:機(jī)械工業(yè)出版社,2006.
[11]Allen P E,Holberg D R.CMOS Analog Circuit Design [M].2nd Edition.北京:電子工業(yè)出版社,2002.
篇10
關(guān)鍵詞:集成電路版圖;CD4002B;芯片解析
作者簡(jiǎn)介:王健(1965-),男,遼寧沈陽(yáng)人,沈陽(yáng)化工大學(xué)信息工程學(xué)院,副教授;樊立萍(1966-),女,山東淄博人,沈陽(yáng)化工大學(xué)信息工程學(xué)院,教授。(遼寧 沈陽(yáng) 110142)
中圖分類號(hào):G642.0?????文獻(xiàn)標(biāo)識(shí)碼:A?????文章編號(hào):1007-0079(2012)31-0050-02
“集成電路版圖設(shè)計(jì)”是一門講授集成電路版圖版圖工作原理、設(shè)計(jì)方法和計(jì)算機(jī)實(shí)現(xiàn)的課程,是電子科學(xué)與技術(shù)專業(yè)及相關(guān)電類專業(yè)課程體系中一門重要的專業(yè)課。[1]該課程一般以“模擬電子技術(shù)基礎(chǔ)”、“數(shù)字電子技術(shù)基礎(chǔ)”和“半導(dǎo)體器件”為先修課程,主要講授集成電路雙極工藝和CMOS工藝的基本流程、版圖基本單元的工作原理和結(jié)構(gòu)特點(diǎn),以及布局布線的設(shè)計(jì)方法。[2]其目的是指導(dǎo)學(xué)生掌握集成電路版圖分析與設(shè)計(jì)技術(shù),提高學(xué)生實(shí)踐能力和綜合解決問題的能力。由于集成電路芯片外層有封裝,學(xué)生在學(xué)習(xí)該課程前對(duì)版圖無(wú)直觀認(rèn)識(shí),很多版圖設(shè)計(jì)教材是先講授工藝流程,然后講授單元版圖,最后論述布局布線等內(nèi)容,這樣教學(xué)有悖于從感性到理性的認(rèn)知過程,有礙教學(xué)效果。[3]有的教材在版圖解析方面做了有益嘗試,但由于當(dāng)時(shí)技術(shù)條件限制,采用繪制圖代替芯片解析照片,實(shí)踐性欠佳。為了在有限的學(xué)時(shí)中能夠盡快引導(dǎo)學(xué)生入門,在版圖解析與設(shè)計(jì)兩個(gè)方面的能力都有所提高,筆者將芯片CD4002B解析并應(yīng)用到“集成電路版圖設(shè)計(jì)”課程教學(xué)實(shí)踐中,效果良好。
一、版圖逆向解析
集成電路的設(shè)計(jì)包括邏輯(或功能)設(shè)計(jì)、電路設(shè)計(jì)、版圖設(shè)計(jì)和工藝設(shè)計(jì)。通常有兩種設(shè)計(jì)途徑:正向設(shè)計(jì)、逆向設(shè)計(jì)。[2]
逆向設(shè)計(jì)的作用為仿制和獲得先進(jìn)的集成電路設(shè)計(jì)。逆向設(shè)計(jì)的流程為:提取橫向尺寸,提取縱向尺寸和測(cè)試產(chǎn)品的電學(xué)參數(shù)。[2]
對(duì)于本科電子科學(xué)與技術(shù)專業(yè)教學(xué),版圖的逆向設(shè)計(jì)主要是提取芯片的橫向尺寸。提取芯片橫向尺寸方法為:打開封裝,進(jìn)行拍照、拼圖;由產(chǎn)品的復(fù)合版圖提取電路圖、器件尺寸和設(shè)計(jì)規(guī)則;進(jìn)行電路模擬和畫版圖。
二、CD4002B版圖解析
CD4002B是兩個(gè)四輸入或非門芯片,封裝為雙列14針?biāo)芰戏庋b,根據(jù)芯片編號(hào)規(guī)則判斷為CMOS工藝制造。該電路具有器件類型全面、電路典型的特點(diǎn),適用于教學(xué)實(shí)踐。
1.CD4002B芯片版圖拍照
首先將芯片放到濃硝酸中加熱,去掉封裝,用去離子水沖洗、吹干后在顯微鏡下拍照鋁層照片。再將芯片放到鹽酸溶液中漂洗去掉鋁層,用去離子水沖洗、吹干后放到氫氟酸溶液中去掉二氧化硅層,經(jīng)去離子水沖洗、吹干后用染色劑染色,雜質(zhì)濃度高部分顏色變深,沖洗、吹干后在顯微鏡下對(duì)無(wú)鋁層(有源層)芯片拍照。
采用圖形編輯軟件分別對(duì)兩層照片進(jìn)行拼接,獲得版圖照片。
2.芯片版圖分析
通過對(duì)CD4002B兩層(鋁層和有源層)照片進(jìn)行分析研究表明:解析的芯片為是一層鋁,且鋁柵極,P阱工藝。該芯片鋁線寬度最小為9微米,柵極寬度為6微米。芯片包含的單元為NMOS、PMOS、反相器、四輸入與非門、電阻、二極管等。
該芯片由兩個(gè)四輸入或非門組成,其中一個(gè)或非門電路圖如圖1所示,其中9、10、11、12管腳為輸入端,14管腳為電源端,13管腳為輸出端和7管腳為地端。四個(gè)輸入端首先分別經(jīng)過一個(gè)反相器,然后接入一個(gè)四輸入與非門,最后經(jīng)過一個(gè)反相器輸出。邏輯關(guān)系經(jīng)過推導(dǎo)和仿真驗(yàn)證為或非門關(guān)系。
為了實(shí)現(xiàn)靜電保護(hù),在輸入、輸出和電源端分別構(gòu)造靜電保護(hù)。輸入端靜電保護(hù)電路由四個(gè)二極管和一個(gè)限流電阻構(gòu)成;輸出端靜電保護(hù)電路由二個(gè)二極管和一個(gè)限流電阻構(gòu)成;電源端靜電保護(hù)電路由一個(gè)二極管構(gòu)成。
下面以芯片中四輸入與非門版圖和輸入靜電保護(hù)電路說(shuō)明版圖特點(diǎn)。
該芯片的四輸入與非門版圖如圖2所示。N14、N15、N16、N17為NMOS管,共用一個(gè)P阱,從鋁層分析四個(gè)NMOS管為串聯(lián)關(guān)系。為了節(jié)省面積,相鄰器件源極和漏極共用,即上一個(gè)管子源極是鄰近管子漏極;P14、P15、P16、P17為PMOS管,從鋁層分析四個(gè)NMOS管為并聯(lián)關(guān)系,四個(gè)器件源極相連和漏極相連,提取的電路圖見圖1。
該芯片的輸入管腳都有靜電保護(hù)電路,如圖3所示。其中D5-1、D5-2為兩個(gè)以P阱為P區(qū)的二極管,該管N區(qū)接輸入端,P區(qū)接地;R5為基區(qū)電阻;D5-3、D5-4為以基區(qū)電阻為P區(qū),襯底為N區(qū)的二極管,其中P區(qū)接電阻,N區(qū)接電源。提取的電路圖見圖1。
三、課程教學(xué)改革
1.教學(xué)大綱的改革
本科生教學(xué)既要注重實(shí)踐教學(xué)又要兼顧理論教學(xué),不僅要掌握單元的版圖設(shè)計(jì)和軟件使用,還應(yīng)該掌握版圖結(jié)構(gòu)原理。為此確立該課程的基本目標(biāo)為:電路的分析及應(yīng)用,能夠讀懂電路的線路圖,并能進(jìn)行正確分析;版圖識(shí)讀和常見基本器件的版圖設(shè)計(jì);布局布線與驗(yàn)證修改;[4]掌握版圖的失效機(jī)理,并能掌握特殊器件版圖的設(shè)計(jì)方法。
根據(jù)電子科學(xué)與技術(shù)的課程體系,參考幾種教材制定了特色顯著的教學(xué)大綱。該大綱主要內(nèi)容包括:模擬和數(shù)字集成電路基本單元電路和工作原理;雙極工藝、CMOS工藝和BICMOS工藝的介紹;集成電路的失效機(jī)理和防護(hù)措施;三種工藝的中的NPN和PNP晶體管、NMOS和PMOS晶體管、電阻、電容和電感等器件的版圖和工作原理;特殊器件的版圖及工作原理;[5]版圖布局、布線和標(biāo)準(zhǔn)單元設(shè)計(jì)的基本規(guī)則;逆向版圖的識(shí)別方法;[2]集成電路設(shè)計(jì)軟件的使用方法。[6]